Index of /alpine/edge/testing/x86

[ICO]NameLast modifiedSizeDescription

[PARENTDIR]Parent Directory  -  
[   ]lua-psl-0.3-r0.apk2024-10-25 22:11 1.1K 
[   ]lua-linenoise-0.9-r1.apk2024-10-25 22:11 1.2K 
[   ]simpleble-0.6.1-r2.apk2024-12-14 20:46 1.2K 
[   ]lua-libmodbus-0.6.1-r0.apk2024-10-25 22:11 1.2K 
[   ]lua-lcurses-9.0.0-r0.apk2024-10-25 22:11 1.2K 
[   ]boxed-cpp-1.4.3-r0.apk2024-10-25 22:11 1.2K 
[   ]coreboot-tools-24.08-r1.apk2024-11-27 21:28 1.2K 
[   ]lua-editorconfig-0.3.0-r0.apk2024-10-25 22:11 1.2K 
[   ]wasm-micro-runtime-2.2.0-r0.apk2024-12-05 16:55 1.2K 
[   ]font-fira-4.202-r0.apk2024-10-25 22:11 1.2K 
[   ]luacov-html-1.0.0-r1.apk2024-10-25 22:11 1.2K 
[   ]libqofono-0.124-r0.apk2025-01-10 13:38 1.2K 
[   ]linuxptp-4.4-r0.apk2024-11-18 19:00 1.2K 
[   ]baikal-mysql-0.10.1-r0.apk2024-11-10 18:10 1.2K 
[   ]font-fantasque-sans-1.8.0-r0.apk2024-10-25 22:11 1.2K 
[   ]restinio-0.6.19-r1.apk2024-12-14 20:46 1.2K 
[   ]baikal-pgsql-0.10.1-r0.apk2024-11-10 18:10 1.2K 
[   ]lumina-desktop-1.6.2-r0.apk2024-10-25 22:11 1.2K 
[   ]nb-full-7.15.0-r0.apk2024-12-15 22:49 1.2K 
[   ]ruby-build-runtime-20241225.2-r0.apk2025-01-09 07:30 1.3K 
[   ]apk-readme-0.1-r1.apk2024-10-25 22:10 1.3K 
[   ]baikal-sqlite-0.10.1-r0.apk2024-11-10 18:10 1.4K 
[   ]elfio-3.12-r0.apk2024-10-25 22:11 1.4K 
[   ]dvdbackup-lang-0.4.2-r1.apk2024-10-25 22:11 1.4K 
[   ]lua-xml-1.1.3-r2.apk2024-10-25 22:11 1.4K 
[   ]libnest2d-0.4-r6.apk2024-10-25 22:11 1.4K 
[   ]nextpnr-0.7-r0.apk2024-10-25 22:11 1.4K 
[   ]arc-theme-20221218-r0.apk2024-10-25 22:10 1.4K 
[   ]lua-lanes-3.16.0-r1.apk2024-10-25 22:11 1.4K 
[   ]f_scripts-0.6-r1.apk2024-10-25 22:11 1.4K 
[   ]logc-libs-0.1.0-r0.apk2024-10-25 22:11 1.5K 
[   ]luacov-0.15.0-r0.apk2024-10-25 22:11 1.5K 
[   ]prosody-modules-0.11_hg20201208-r0.apk2024-10-25 22:12 1.5K 
[   ]libwmiclient-1.3.16-r5.apk2024-10-25 22:11 1.5K 
[   ]font-monaspace-1.101-r0.apk2024-10-25 22:11 1.5K 
[   ]aufs-util-dev-20161219-r3.apk2024-10-25 22:10 1.5K 
[   ]freshrss-mysql-1.23.1-r1.apk2024-10-25 22:11 1.5K 
[   ]freshrss-pgsql-1.23.1-r1.apk2024-10-25 22:11 1.5K 
[   ]qoi-0.0.0_git20230312-r0.apk2024-10-25 22:12 1.5K 
[   ]lua-luastatic-0.0.12-r1.apk2024-10-25 22:11 1.5K 
[   ]freshrss-sqlite-1.23.1-r1.apk2024-10-25 22:11 1.5K 
[   ]termcolor-2.1.0-r0.apk2024-10-25 22:12 1.5K 
[   ]materia-dark-compact-kde-kvantum-20220823-r0.apk2024-10-25 22:11 1.5K 
[   ]materia-light-compact-kde-kvantum-20220823-r0.apk2024-10-25 22:11 1.5K 
[   ]lomiri-thumbnailer-doc-3.0.4-r0.apk2025-01-10 11:15 1.5K 
[   ]qt5ct-dev-1.8-r0.apk2024-10-25 22:12 1.5K 
[   ]66-init-0.8.0.1-r0.apk2025-01-12 14:12 1.5K 
[   ]openocd-git-cmd-openocd-0_git20240113-r1.apk2024-10-25 22:12 1.5K 
[   ]musikcube-plugin-all-3.0.4-r0.apk2024-10-25 22:11 1.5K 
[   ]slidge-matridge-openrc-0.1.0-r0.apk2024-10-25 22:12 1.5K 
[   ]subtitleeditor-dev-0.54.0-r3.apk2024-10-25 22:12 1.5K 
[   ]remake-make-1.5-r1.apk2024-10-25 22:12 1.5K 
[   ]android-file-transfer-dev-4.3-r0.apk2024-10-25 22:10 1.5K 
[   ]icingaweb2-module-pnp-doc-1.1.0-r1.apk2024-10-25 22:11 1.5K 
[   ]foolsm-openrc-1.0.21-r0.apk2024-10-25 22:11 1.5K 
[   ]iwasm-2.2.0-r0.apk2024-12-05 16:55 1.6K 
[   ]grlx-sprout-openrc-1.0.5-r1.apk2025-01-19 12:55 1.6K 
[   ]barman-bash-completion-3.12.1-r0.apk2024-12-31 17:20 1.6K 
[   ]grlx-farmer-openrc-1.0.5-r1.apk2025-01-19 12:55 1.6K 
[   ]aprilsh-0.7.12-r1.apk2025-01-19 12:55 1.6K 
[   ]lizardfs-master-openrc-3.13.0-r14.apk2024-12-03 15:32 1.6K 
[   ]lizardfs-metalogger-openrc-3.13.0-r14.apk2024-12-03 15:32 1.6K 
[   ]nullmailer-openrc-2.2-r4.apk2024-10-25 22:11 1.6K 
[   ]lizardfs-chunkserver-openrc-3.13.0-r14.apk2024-12-03 15:32 1.6K 
[   ]throttled-openrc-0.10.0-r1.apk2024-12-15 20:26 1.6K 
[   ]moosefs-master-openrc-3.0.117-r2.apk2024-10-25 22:11 1.6K 
[   ]prosody-mod-saslname-0.11_hg20201208-r0.apk2024-10-25 22:12 1.6K 
[   ]tremc-zsh-completion-0.9.3-r0.apk2024-10-25 22:12 1.6K 
[   ]dstask-zsh-completion-0.26-r10.apk2025-01-19 12:55 1.6K 
[   ]prometheus-rethinkdb-exporter-openrc-1.0.1-r24.apk2025-01-19 12:55 1.6K 
[   ]lxd-feature-doc-5.20-r7.apk2025-01-19 12:55 1.6K 
[   ]moosefs-metalogger-openrc-3.0.117-r2.apk2024-10-25 22:11 1.6K 
[   ]moosefs-chunkserver-openrc-3.0.117-r2.apk2024-10-25 22:11 1.6K 
[   ]ruuvi-prometheus-openrc-0.1.7-r6.apk2025-01-19 12:55 1.6K 
[   ]py3-litex-hub-modules-2024.04-r0.apk2024-10-25 22:12 1.6K 
[   ]pimd-openrc-3.0_git20220201-r0.apk2024-10-25 22:12 1.6K 
[   ]fileshelter-openrc-6.2.0-r2.apk2024-12-07 01:23 1.6K 
[   ]vcstool-tcsh-completion-0.3.0-r5.apk2024-10-25 22:13 1.6K 
[   ]repgrep-bash-completion-0.15.0-r0.apk2024-10-25 22:12 1.6K 
[   ]fluent-bit-openrc-3.1.10-r0.apk2024-11-10 13:15 1.6K 
[   ]repgrep-zsh-completion-0.15.0-r0.apk2024-10-25 22:12 1.6K 
[   ]mbpfan-openrc-2.4.0-r1.apk2024-10-25 22:11 1.6K 
[   ]wch-isp-udev-rules-0.4.1-r2.apk2024-10-25 22:13 1.6K 
[   ]lutgen-zsh-completion-0.11.2-r0.apk2024-10-25 22:11 1.6K 
[   ]zrepl-openrc-0.6.1-r7.apk2025-01-19 12:55 1.7K 
[   ]hiawatha-openrc-11.6-r0.apk2024-10-25 22:11 1.7K 
[   ]3proxy-openrc-0.9.4-r1.apk2024-10-25 22:10 1.7K 
[   ]bestline-dev-0.0_git20211108-r0.apk2024-10-25 22:10 1.7K 
[   ]lutgen-bash-completion-0.11.2-r0.apk2024-10-25 22:11 1.7K 
[   ]monopd-openrc-0.10.4-r0.apk2025-01-11 12:11 1.7K 
[   ]speedtest-go-openrc-1.1.5-r11.apk2025-01-19 12:55 1.7K 
[   ]nymphcast-mediaserver-nftables-0.1-r3.apk2024-10-25 22:11 1.7K 
[   ]tremc-bash-completion-0.9.3-r0.apk2024-10-25 22:12 1.7K 
[   ]dcmtk-openrc-3.6.9-r0.apk2025-01-19 18:13 1.7K 
[   ]interception-tools-openrc-0.6.8-r2.apk2024-10-25 22:11 1.7K 
[   ]tuptime-openrc-5.2.4-r1.apk2024-11-28 23:44 1.7K 
[   ]libwmiclient-dev-1.3.16-r5.apk2024-10-25 22:11 1.7K 
[   ]materia-20210322-r1.apk2024-10-25 22:11 1.7K 
[   ]wroomd-openrc-0.1.0-r0.apk2024-10-25 22:13 1.7K 
[   ]materia-compact-20210322-r1.apk2024-10-25 22:11 1.7K 
[   ]fusee-nano-udev-0.5.3-r1.apk2024-10-25 22:11 1.7K 
[   ]thermald-openrc-2.5.8-r0.apk2024-11-12 12:29 1.7K 
[   ]octoprint-openrc-1.10.3-r0.apk2024-12-25 23:04 1.7K 
[   ]materia-dark-20210322-r1.apk2024-10-25 22:11 1.7K 
[   ]neard-openrc-0.19-r0.apk2024-10-25 22:11 1.7K 
[   ]prosody-mod-require_otr-0.11_hg20201208-r0.apk2024-10-25 22:12 1.7K 
[   ]wf-shell-dev-0.8.1-r0.apk2024-10-25 22:13 1.7K 
[   ]avahi2dns-openrc-0.0.1_git20240102-r3.apk2025-01-19 12:55 1.7K 
[   ]soapy-sdr-remote-openrc-0.5.2-r1.apk2024-10-25 22:12 1.7K 
[   ]rinetd-openrc-0.73-r0.apk2024-10-25 22:12 1.7K 
[   ]pully-openrc-1.0.0-r0.apk2024-10-25 22:12 1.7K 
[   ]pantalaimon-ui-0.10.5-r4.apk2024-10-25 22:12 1.7K 
[   ]repowerd-openrc-2023.07-r2.apk2024-10-25 22:12 1.7K 
[   ]razercfg-openrc-0.42-r7.apk2024-10-25 22:12 1.7K 
[   ]materia-dark-compact-20210322-r1.apk2024-10-25 22:11 1.7K 
[   ]geodns-openrc-3.3.0-r9.apk2025-01-19 12:55 1.7K 
[   ]docker-volume-local-persist-openrc-1.3.0-r29.apk2025-01-19 12:55 1.7K 
[   ]lutgen-fish-completion-0.11.2-r0.apk2024-10-25 22:11 1.7K 
[   ]vcstool-zsh-completion-0.3.0-r5.apk2024-10-25 22:13 1.7K 
[   ]ghq-bash-completion-1.7.1-r1.apk2025-01-19 12:55 1.7K 
[   ]cliphist-fzf-0.6.1-r1.apk2025-01-19 12:55 1.7K 
[   ]cloudflared-openrc-2024.12.1-r1.apk2025-01-19 12:55 1.7K 
[   ]nmap-parse-output-bash-completion-1.5.1-r0.apk2024-10-25 22:11 1.7K 
[   ]crowdsec-openrc-1.6.4-r1.apk2025-01-19 12:55 1.7K 
[   ]arc-20221218-r0.apk2024-10-25 22:10 1.7K 
[   ]spacectl-zsh-completion-1.0.0-r2.apk2025-01-19 12:55 1.8K 
[   ]arc-dark-20221218-r0.apk2024-10-25 22:10 1.8K 
[   ]vcstool-bash-completion-0.3.0-r5.apk2024-10-25 22:13 1.8K 
[   ]fastd-openrc-22-r3.apk2024-10-25 22:11 1.8K 
[   ]taskcafe-openrc-0.3.6-r9.apk2025-01-19 12:55 1.8K 
[   ]filebrowser-openrc-2.27.0-r7.apk2025-01-19 12:55 1.8K 
[   ]arc-darker-20221218-r0.apk2024-10-25 22:10 1.8K 
[   ]prosody-mod-block_registrations-0.11_hg20201208-r0.apk2024-10-25 22:12 1.8K 
[   ]prosody-mod-log_auth-0.11_hg20201208-r0.apk2024-10-25 22:12 1.8K 
[   ]arc-lighter-20221218-r0.apk2024-10-25 22:10 1.8K 
[   ]otrs-fastcgi-6.0.48-r2.apk2024-10-25 22:12 1.8K 
[   ]aprilsh-openrc-0.7.12-r1.apk2025-01-19 12:55 1.8K 
[   ]efl-gdb-1.27.0-r1.apk2024-10-25 22:11 1.8K 
[   ]reaction-openrc-1.4.1-r2.apk2025-01-19 12:55 1.8K 
[   ]phoronix-test-suite-bash-completion-10.8.4-r2.apk2024-10-25 22:12 1.8K 
[   ]fyi-bash-completion-1.0.4-r0.apk2024-10-25 22:11 1.8K 
[   ]jackal-openrc-0.64.0-r11.apk2025-01-19 12:55 1.8K 
[   ]66-tools-dev-0.1.1.0-r0.apk2025-01-12 14:12 1.8K 
[   ]yazi-fish-completion-0.4.2-r0.apk2024-12-20 17:10 1.8K 
[   ]peervpn-openrc-0.044-r5.apk2024-10-25 22:12 1.8K 
[   ]nvim-cmp-cmdline-doc-0.0.0_git20220902-r1.apk2024-10-25 22:11 1.8K 
[   ]otrs-nginx-6.0.48-r2.apk2024-10-25 22:12 1.8K 
[   ]piping-server-openrc-0.18.0-r0.apk2024-10-25 22:12 1.8K 
[   ]mkdocs-cinder-pyc-1.2.0-r5.apk2024-10-25 22:11 1.8K 
[   ]speedtest_exporter-openrc-0.3.2-r11.apk2025-01-19 12:55 1.8K 
[   ]yaru-schemas-23.10.0-r1.apk2024-12-01 03:29 1.8K 
[   ]mkdocs-ivory-pyc-0.4.6-r5.apk2024-10-25 22:11 1.8K 
[   ]turnstile-openrc-0.1.10-r3.apk2024-10-25 22:13 1.8K 
[   ]py3-yosys-0.42-r0.apk2024-10-25 22:12 1.8K 
[   ]mkdocs-windmill-pyc-1.0.5-r4.apk2024-10-25 22:11 1.8K 
[   ]udpt-openrc-3.1.2-r0.apk2024-10-25 22:13 1.8K 
[   ]mkdocs-bootstrap4-pyc-0.1.5-r5.apk2024-10-25 22:11 1.8K 
[   ]prosody-mod-auth_pam-0.11_hg20201208-r0.apk2024-10-25 22:12 1.8K 
[   ]librespot-openrc-0.6.0-r0.apk2024-11-01 22:59 1.8K 
[   ]listenbrainz-mpd-fish-completion-2.3.8-r0.apk2024-10-25 22:11 1.8K 
[   ]mkdocs-rtd-dropdown-pyc-1.0.2-r5.apk2024-10-25 22:11 1.8K 
[   ]shutdown-clear-machine-id-1.0.0-r0.apk2024-10-25 22:12 1.8K 
[   ]mkdocs-bootstrap-pyc-1.1.1-r2.apk2024-10-25 22:11 1.8K 
[   ]mkdocs-cluster-pyc-0.0.9-r5.apk2024-10-25 22:11 1.8K 
[   ]espeakup-openrc-0.90-r2.apk2024-10-25 22:11 1.8K 
[   ]mkdocs-gitbook-pyc-0.0.1-r5.apk2024-10-25 22:11 1.8K 
[   ]mkdocs-bootstrap386-pyc-0.0.2-r5.apk2024-10-25 22:11 1.8K 
[   ]hwatch-fish-completion-0.3.11-r0.apk2024-10-25 22:11 1.8K 
[   ]synapse-bt-openrc-1.0-r4.apk2024-10-25 22:12 1.8K 
[   ]upterm-server-openrc-0.14.3-r1.apk2025-01-19 12:55 1.8K 
[   ]irccd-openrc-4.0.3-r0.apk2024-10-25 22:11 1.8K 
[   ]prometheus-bind-exporter-openrc-0.7.0-r7.apk2025-01-19 12:55 1.8K 
[   ]lizardfs-bash-completion-3.13.0-r14.apk2024-12-03 15:32 1.8K 
[   ]nvimpager-zsh-completion-0.12.0-r0.apk2024-10-25 22:11 1.8K 
[   ]py3-cython-test-exception-raiser-pyc-1.0.2-r0.apk2024-10-25 22:12 1.8K 
[   ]eiwd-openrc-2.22-r0.apk2024-10-25 22:11 1.8K 
[   ]materia-kde-konsole-20220823-r0.apk2024-10-25 22:11 1.8K 
[   ]prometheus-smartctl-exporter-openrc-0.13.0-r1.apk2025-01-19 12:55 1.8K 
[   ]gearmand-openrc-1.1.21-r1.apk2024-10-25 22:11 1.8K 
[   ]p910nd-openrc-0.97-r2.apk2024-10-25 22:12 1.8K 
[   ]py3-sphinx-theme-bw-pyc-0.1.8-r7.apk2024-10-25 22:12 1.8K 
[   ]ntpd-rs-openrc-1.4.0-r0.apk2024-12-14 20:46 1.8K 
[   ]materia-dark-kde-konsole-20220823-r0.apk2024-10-25 22:11 1.8K 
[   ]minidyndns-openrc-1.3.0-r3.apk2024-10-25 22:11 1.8K 
[   ]satellite-openrc-1.0.0-r24.apk2025-01-19 12:55 1.8K 
[   ]prosody-mod-broadcast-0.11_hg20201208-r0.apk2024-10-25 22:12 1.8K 
[   ]sish-openrc-2.16.1-r1.apk2025-01-19 12:55 1.8K 
[   ]cloudflared-doc-2024.12.1-r1.apk2025-01-19 12:55 1.9K 
[   ]dmarc-metrics-exporter-openrc-1.2.0-r0.apk2024-11-29 23:02 1.9K 
[   ]pimd-dense-openrc-2.1.0-r0.apk2024-10-25 22:12 1.9K 
[   ]seaweedfs-openrc-3.80-r1.apk2025-01-19 12:55 1.9K 
[   ]ckb-next-daemon-openrc-0.6.0-r1.apk2024-10-25 22:11 1.9K 
[   ]debconf-bash-completion-1.5.82-r0.apk2024-10-25 22:11 1.9K 
[   ]conntracct-openrc-0.2.7-r27.apk2025-01-19 12:55 1.9K 
[   ]tpm2-pkcs11-dev-1.9.1-r0.apk2024-10-25 22:12 1.9K 
[   ]flowd-openrc-0.9.1-r10.apk2024-10-25 22:11 1.9K 
[   ]olsrd-openrc-0.9.8-r3.apk2024-10-25 22:12 1.9K 
[   ]pict-rs-openrc-0.5.16-r1.apk2024-10-25 22:12 1.9K 
[   ]pgcat-openrc-1.2.0-r1.apk2025-01-01 18:38 1.9K 
[   ]py3-pysequoia-pyc-0.1.20-r3.apk2024-10-25 22:12 1.9K 
[   ]prometheus-ipmi-exporter-openrc-1.8.0-r1.apk2025-01-19 12:55 1.9K 
[   ]xkb-switch-doc-1.8.5-r0.apk2024-10-25 22:13 1.9K 
[   ]mptcpd-openrc-0.13-r1.apk2025-01-10 18:18 1.9K 
[   ]chasquid-openrc-1.15.0-r0.apk2025-01-19 12:55 1.9K 
[   ]zrepl-zsh-completion-0.6.1-r7.apk2025-01-19 12:55 1.9K 
[   ]tang-openrc-15-r0.apk2025-01-20 05:17 1.9K 
[   ]llmnrd-openrc-0.7-r1.apk2024-10-25 22:11 1.9K 
[   ]icesprog-udev-0_git20240108-r1.apk2024-10-25 22:11 1.9K 
[   ]otrs-openrc-6.0.48-r2.apk2024-10-25 22:12 1.9K 
[   ]minisatip-openrc-1.3.4-r0.apk2024-10-25 22:11 1.9K 
[   ]prometheus-unbound-exporter-openrc-0.4.6-r1.apk2025-01-19 12:55 1.9K 
[   ]mautrix-discord-openrc-0.7.2-r1.apk2025-01-19 12:55 1.9K 
[   ]libsirocco-dev-2.1.0-r2.apk2024-10-25 22:11 1.9K 
[   ]mautrix-bluesky-openrc-0.1.0-r1.apk2025-01-19 12:55 1.9K 
[   ]mautrix-twitter-openrc-0.2.1-r1.apk2025-01-19 12:55 1.9K 
[   ]f_scripts-f_game-0.6-r1.apk2024-10-25 22:11 1.9K 
[   ]turn-rs-openrc-3.3.3-r0.apk2025-01-07 07:28 1.9K 
[   ]json2tsv-jaq-1.2-r0.apk2024-10-25 22:11 1.9K 
[   ]gortr-openrc-0.14.8-r9.apk2025-01-19 12:55 1.9K 
[   ]lizardfs-cgiserv-openrc-3.13.0-r14.apk2024-12-03 15:32 1.9K 
[   ]flawz-fish-completion-0.3.0-r0.apk2024-11-03 22:06 1.9K 
[   ]stayrtr-openrc-0.6.1-r1.apk2025-01-19 12:55 1.9K 
[   ]maddy-openrc-0.7.1-r6.apk2025-01-19 12:55 1.9K 
[   ]empede-openrc-0.2.3-r0.apk2024-10-25 22:11 1.9K 
[   ]gmcapsule-openrc-0.9.7-r0.apk2025-01-08 20:12 1.9K 
[   ]hwatch-zsh-completion-0.3.11-r0.apk2024-10-25 22:11 1.9K 
[   ]perl-dns-unbound-asyncquery-promisexs-0.29-r1.apk2024-10-25 22:12 1.9K 
[   ]daktilo-fish-completion-0.6.0-r0.apk2024-10-25 22:11 1.9K 
[   ]moosefs-cgiserv-openrc-3.0.117-r2.apk2024-10-25 22:11 1.9K 
[   ]msh-openrc-2.5.0-r8.apk2025-01-19 12:55 1.9K 
[   ]exercism-bash-completion-3.2.0-r8.apk2025-01-19 12:55 1.9K 
[   ]bees-openrc-0.10-r2.apk2024-10-25 22:10 1.9K 
[   ]gotify-openrc-2.5.0-r2.apk2025-01-19 12:55 1.9K 
[   ]py3-slidge-style-parser-pyc-0.1.8-r0.apk2024-10-25 22:12 1.9K 
[   ]prometheus-smokeping-prober-openrc-0.7.1-r8.apk2025-01-19 12:55 1.9K 
[   ]sthttpd-openrc-2.27.1-r2.apk2024-10-25 22:12 2.0K 
[   ]ry-bash-completion-0.5.2-r1.apk2024-10-25 22:12 2.0K 
[   ]cpu-x-bash-completion-5.0.4-r1.apk2024-11-16 16:11 2.0K 
[   ]prosody-mod-ipcheck-0.11_hg20201208-r0.apk2024-10-25 22:12 2.0K 
[   ]halp-fish-completion-0.2.0-r0.apk2024-10-25 22:11 2.0K 
[   ]ma1sd-openrc-2.5.0-r3.apk2024-10-25 22:11 2.0K 
[   ]alps-openrc-0_git20230807-r8.apk2025-01-19 12:55 2.0K 
[   ]daemontools-openrc-0.76-r3.apk2024-10-25 22:11 2.0K 
[   ]tealdeer-bash-completion-1.7.1-r0.apk2024-12-14 19:14 2.0K 
[   ]py3-lzo-pyc-1.16-r1.apk2024-10-25 22:12 2.0K 
[   ]hamster-time-tracker-bash-completion-3.0.3-r2.apk2024-10-25 22:11 2.0K 
[   ]nvim-cmp-path-doc-0.0.0_git20221002-r1.apk2024-10-25 22:11 2.0K 
[   ]xisxwayland-doc-2-r1.apk2024-10-25 22:13 2.0K 
[   ]agate-openrc-3.3.8-r0.apk2024-10-25 22:10 2.0K 
[   ]py3-sphinx-theme-better-pyc-0.1.5-r7.apk2024-10-25 22:12 2.0K 
[   ]cortex-tenant-openrc-1.15.2-r2.apk2025-01-19 12:55 2.0K 
[   ]ddnrs-openrc-0.3.0-r0.apk2024-10-25 22:11 2.0K 
[   ]geomyidae-openrc-0.34-r2.apk2024-10-25 22:11 2.0K 
[   ]perl-lv-backend-sentinel-0.006-r0.apk2024-10-25 22:12 2.0K 
[   ]py3-keepalive-doc-0.5-r5.apk2024-10-25 22:12 2.0K 
[   ]spacectl-bash-completion-1.0.0-r2.apk2025-01-19 12:55 2.0K 
[   ]prosody-mod-reload_modules-0.11_hg20201208-r0.apk2024-10-25 22:12 2.0K 
[   ]prosody-mod-c2s_conn_throttle-0.11_hg20201208-r0.apk2024-10-25 22:12 2.0K 
[   ]projectm-pulseaudio-doc-3.1.12-r2.apk2024-10-25 22:12 2.0K 
[   ]docker-auth-openrc-1.12.0-r1.apk2025-01-19 12:55 2.0K 
[   ]lomiri-indicator-network-doc-1.1.0-r0.apk2025-01-10 11:15 2.0K 
[   ]downloader-cli-0.3.4-r1.apk2024-10-25 22:11 2.0K 
[   ]wlopm-doc-0.1.0-r0.apk2024-10-25 22:13 2.0K 
[   ]prosody-mod-s2s_idle_timeout-0.11_hg20201208-r0.apk2024-10-25 22:12 2.0K 
[   ]lomiri-docviewer-app-doc-3.0.4-r0.apk2024-10-25 22:11 2.0K 
[   ]nzbget-openrc-24.5-r0.apk2024-12-24 11:41 2.0K 
[   ]sing-box-openrc-1.10.7-r1.apk2025-01-19 12:55 2.0K 
[   ]lazymc-openrc-0.2.11-r0.apk2024-10-25 22:11 2.0K 
[   ]odyssey-openrc-1.3-r3.apk2024-10-25 22:12 2.0K 
[   ]prosody-mod-support_contact-0.11_hg20201208-r0.apk2024-10-25 22:12 2.0K 
[   ]py3-editdistance-s-pyc-1.0.0-r6.apk2024-10-25 22:12 2.0K 
[   ]openswitcher-proxy-openrc-0.5.0-r4.apk2024-10-25 22:12 2.0K 
[   ]prosody-mod-stanza_counter-0.11_hg20201208-r0.apk2024-10-25 22:12 2.0K 
[   ]thelounge-openrc-4.4.3-r0.apk2024-10-25 22:12 2.0K 
[   ]nvim-cmp-luasnip-doc-0.0.0_git20220501-r1.apk2024-10-25 22:11 2.0K 
[   ]clevis-bash-completion-21-r0.apk2025-01-20 05:17 2.0K 
[   ]yazi-bash-completion-0.4.2-r0.apk2024-12-20 17:10 2.0K 
[   ]prometheus-opnsense-exporter-openrc-0.0.5-r1.apk2025-01-19 12:55 2.0K 
[   ]atool-bash-completion-0.39.0-r4.apk2024-10-25 22:10 2.0K 
[   ]utop-common-2.9.1-r4.apk2024-10-25 22:13 2.0K 
[   ]libuninameslist-doc-20230916-r0.apk2024-10-25 22:11 2.0K 
[   ]firehol-openrc-3.1.7-r2.apk2024-10-25 22:11 2.1K 
[   ]oauth2-proxy-openrc-7.6.0-r7.apk2025-01-19 12:55 2.1K 
[   ]ssh-honeypot-openrc-0.1.1-r1.apk2024-10-25 22:12 2.1K 
[   ]listenbrainz-mpd-zsh-completion-2.3.8-r0.apk2024-10-25 22:11 2.1K 
[   ]dstask-bash-completion-0.26-r10.apk2025-01-19 12:55 2.1K 
[   ]tailspin-fish-completion-3.0.0-r0.apk2024-10-25 22:12 2.1K 
[   ]cpu-x-zsh-completion-5.0.4-r1.apk2024-11-16 16:11 2.1K 
[   ]haproxy-dataplaneapi-openrc-3.0.1-r1.apk2025-01-19 12:55 2.1K 
[   ]apmpkg-fish-completion-1.5.1-r3.apk2024-10-25 22:10 2.1K 
[   ]sdl3-doc-3.1.6-r0.apk2024-11-02 21:30 2.1K 
[   ]lxd-feature-scripts-5.20-r7.apk2025-01-19 12:55 2.1K 
[   ]rezolus-openrc-2.11.1-r3.apk2024-10-25 22:12 2.1K 
[   ]ytmdl-zsh-completion-2024.08.15.1-r0.apk2024-10-25 22:13 2.1K 
[   ]zapret-openrc-0.0.0_git20220125-r1.apk2024-10-25 22:13 2.1K 
[   ]dasht-zsh-completion-2.4.0-r0.apk2024-10-25 22:11 2.1K 
[   ]exercism-zsh-completion-3.2.0-r8.apk2025-01-19 12:55 2.1K 
[   ]pithos-doc-1.6.1-r0.apk2024-10-25 22:12 2.1K 
[   ]wk-adblock-doc-0.0.4-r5.apk2024-10-25 22:13 2.1K 
[   ]viewnior-doc-1.8-r1.apk2024-10-25 22:13 2.1K 
[   ]fildesh-doc-0.2.0-r0.apk2024-10-25 22:11 2.1K 
[   ]adguardhome-openrc-0.107.55-r1.apk2025-01-19 12:55 2.1K 
[   ]cherrytree-doc-1.2.0-r3.apk2024-12-18 13:06 2.1K 
[   ]kondo-fish-completion-0.8-r0.apk2024-10-25 22:11 2.1K 
[   ]kondo-bash-completion-0.8-r0.apk2024-10-25 22:11 2.1K 
[   ]listenbrainz-mpd-bash-completion-2.3.8-r0.apk2024-10-25 22:11 2.1K 
[   ]py3-swagger-ui-bundle-pyc-1.1.0-r1.apk2024-10-25 22:12 2.1K 
[   ]perl-lv-backend-magic-0.006-r0.apk2024-10-25 22:12 2.1K 
[   ]yazi-zsh-completion-0.4.2-r0.apk2024-12-20 17:10 2.1K 
[   ]f_scripts-f_maps-0.6-r1.apk2024-10-25 22:11 2.1K 
[   ]flawz-bash-completion-0.3.0-r0.apk2024-11-03 22:06 2.1K 
[   ]mdnsd-openrc-0.12-r1.apk2024-10-25 22:11 2.1K 
[   ]xandikos-openrc-0.2.12-r1.apk2024-11-25 04:43 2.1K 
[   ]hitide-openrc-0.15.0-r0.apk2024-10-25 22:11 2.1K 
[   ]mdcat-fish-completion-2.7.1-r0.apk2024-12-14 19:04 2.1K 
[   ]py3-pypubsub-doc-4.0.3-r0.apk2024-10-25 22:12 2.1K 
[   ]qtpass-doc-1.4.0-r0.apk2024-10-25 22:12 2.1K 
[   ]planner-doc-0.14.92-r1.apk2024-12-08 22:39 2.2K 
[   ]ydcv-zsh-completion-0.7-r8.apk2024-10-25 22:13 2.2K 
[   ]cpu-x-fish-completion-5.0.4-r1.apk2024-11-16 16:11 2.2K 
[   ]crosstool-ng-bash-completion-1.26.0_git20240914-r0.apk2024-10-25 22:11 2.2K 
[   ]daktilo-bash-completion-0.6.0-r0.apk2024-10-25 22:11 2.2K 
[   ]soundfont-vintage-dreams-waves-doc-2.1-r2.apk2024-10-25 22:12 2.2K 
[   ]fbcur-doc-1.0.1-r1.apk2024-10-25 22:11 2.2K 
[   ]ruby-notify-doc-0.5.2-r0.apk2024-10-25 22:12 2.2K 
[   ]halp-bash-completion-0.2.0-r0.apk2024-10-25 22:11 2.2K 
[   ]libideviceactivation-doc-1.1.1-r5.apk2024-10-30 23:44 2.2K 
[   ]jaq-doc-2.0.1-r0.apk2024-12-14 21:00 2.2K 
[   ]fyi-fish-completion-1.0.4-r0.apk2024-10-25 22:11 2.2K 
[   ]nano-hare-0_git20231021-r0.apk2024-10-25 22:11 2.2K 
[   ]prosody-mod-log_slow_events-0.11_hg20201208-r0.apk2024-10-25 22:12 2.2K 
[   ]apmpkg-bash-completion-1.5.1-r3.apk2024-10-25 22:10 2.2K 
[   ]flare-game-1.14-r0.apk2024-10-25 22:11 2.2K 
[   ]hddfancontrol-openrc-1.6.2-r0.apk2024-10-25 22:11 2.2K 
[   ]lefthook-doc-1.8.5-r1.apk2025-01-19 12:55 2.2K 
[   ]exabgp-openrc-4.2.22-r0.apk2024-11-30 11:48 2.2K 
[   ]porla-doc-0.41.0-r1.apk2024-12-05 21:56 2.2K 
[   ]gost-doc-2.12.0-r1.apk2025-01-19 12:55 2.2K 
[   ]mint-themes-2.1.1-r0.apk2024-10-25 22:11 2.2K 
[   ]helm-ls-doc-0.0.12-r5.apk2025-01-19 12:55 2.2K 
[   ]py3-uacme-desec-doc-1.2.1-r0.apk2024-10-25 22:12 2.2K 
[   ]ruby-docile-doc-1.4.1-r0.apk2024-10-25 22:12 2.2K 
[   ]gmid-openrc-2.1.1-r0.apk2024-11-27 20:26 2.2K 
[   ]greetd-mini-wl-greeter-bash-completion-0_git20230821-r0.apk2024-10-25 22:11 2.2K 
[   ]helmfile-doc-0.169.2-r1.apk2025-01-19 12:55 2.2K 
[   ]imgdiff-doc-1.0.2-r22.apk2025-01-19 12:55 2.2K 
[   ]yazi-doc-0.4.2-r0.apk2024-12-20 17:10 2.2K 
[   ]qt-jdenticon-doc-0.3.1-r0.apk2024-10-25 22:12 2.2K 
[   ]tmpl-doc-0.4.0-r7.apk2025-01-19 12:55 2.2K 
[   ]tree-sitter-xml-doc-0.7.0-r0.apk2024-11-14 14:12 2.2K 
[   ]hurl-bash-completion-6.0.0-r0.apk2024-12-07 21:18 2.2K 
[   ]whatsie-doc-4.16.3-r0.apk2025-01-12 14:12 2.2K 
[   ]syncthing-gtk-doc-0.9.4.5-r2.apk2024-10-25 22:12 2.2K 
[   ]tree-sitter-markdown-doc-0.3.2-r0.apk2024-10-25 22:12 2.2K 
[   ]goshs-doc-1.0.1-r1.apk2025-01-19 12:55 2.2K 
[   ]ruby-simplecov-html-doc-0.13.1-r0.apk2024-10-25 22:12 2.2K 
[   ]mdcat-bash-completion-2.7.1-r0.apk2024-12-14 19:04 2.2K 
[   ]tfupdate-doc-0.8.2-r2.apk2025-01-19 12:55 2.2K 
[   ]dbmate-doc-2.16.0-r1.apk2025-01-19 12:55 2.2K 
[   ]py3-sphinx-theme-readable-pyc-1.3.0-r9.apk2024-10-25 22:12 2.2K 
[   ]tealdeer-fish-completion-1.7.1-r0.apk2024-12-14 19:14 2.2K 
[   ]gx-go-doc-1.9.0-r28.apk2025-01-19 12:55 2.2K 
[   ]copyq-bash-completion-9.1.0-r1.apk2024-12-12 18:43 2.2K 
[   ]gx-doc-0.14.3-r26.apk2025-01-19 12:55 2.2K 
[   ]wf-shell-doc-0.8.1-r0.apk2024-10-25 22:13 2.2K 
[   ]wpa_actiond-openrc-1.4-r7.apk2024-10-25 22:13 2.2K 
[   ]neocmakelsp-fish-completion-0.8.14-r0.apk2025-01-12 14:22 2.2K 
[   ]py3-phx-class-registry-doc-5.0.0-r0.apk2024-10-25 22:12 2.2K 
[   ]ddgr-bash-completion-2.2-r0.apk2024-10-25 22:11 2.2K 
[   ]flawz-zsh-completion-0.3.0-r0.apk2024-11-03 22:06 2.2K 
[   ]ergo-ldap-doc-0.0.1-r13.apk2025-01-19 12:55 2.2K 
[   ]httpx-doc-1.6.9-r2.apk2025-01-19 12:55 2.2K 
[   ]svls-doc-0.2.12-r0.apk2024-10-25 22:12 2.2K 
[   ]py3-flake8-todo-pyc-0.7-r7.apk2024-10-25 22:12 2.2K 
[   ]rio-doc-0.2.4-r0.apk2025-01-19 12:55 2.2K 
[   ]ruby-simplecov_json_formatter-doc-0.1.4-r0.apk2024-10-25 22:12 2.2K 
[   ]spacectl-doc-1.0.0-r2.apk2025-01-19 12:55 2.2K 
[   ]dnscontrol-doc-4.15.5-r0.apk2025-01-19 12:55 2.2K 
[   ]gtk4-layer-shell-doc-1.0.4-r1.apk2024-11-08 08:03 2.2K 
[   ]tailspin-bash-completion-3.0.0-r0.apk2024-10-25 22:12 2.2K 
[   ]tree-sitter-scheme-doc-0.23.0-r0.apk2024-10-25 22:12 2.2K 
[   ]stardict-doc-3.0.6-r6.apk2024-10-25 22:12 2.2K 
[   ]ytmdl-bash-completion-2024.08.15.1-r0.apk2024-10-25 22:13 2.2K 
[   ]hyx-doc-2024.02.29-r0.apk2024-10-25 22:11 2.2K 
[   ]py3-rst2ansi-doc-0.1.5-r0.apk2024-10-25 22:12 2.2K 
[   ]atools-go-doc-0.2.1-r2.apk2025-01-19 12:55 2.2K 
[   ]gamja-doc-1.0.0_beta9-r0.apk2024-10-25 22:11 2.2K 
[   ]motion-openrc-4.7.0-r0.apk2024-10-25 22:11 2.2K 
[   ]rustdesk-server-openrc-1.1.10.3-r0.apk2024-10-25 22:12 2.3K 
[   ]tabby-doc-3.1-r1.apk2024-10-25 22:12 2.3K 
[   ]drogon-doc-1.9.4-r1.apk2024-10-25 22:11 2.3K 
[   ]py3-deluge-client-doc-1.10.2-r0.apk2024-10-25 22:12 2.3K 
[   ]ruby-simplecov-cobertura-2.1.0-r0.apk2024-10-25 22:12 2.3K 
[   ]ry-zsh-completion-0.5.2-r1.apk2024-10-25 22:12 2.3K 
[   ]py3-python-logstash-doc-0.4.8-r4.apk2024-10-25 22:12 2.3K 
[   ]slurm-doc-0.4.4-r0.apk2024-10-25 22:12 2.3K 
[   ]tree-sitter-nix-doc-0_git20230713-r0.apk2024-10-25 22:12 2.3K 
[   ]daktilo-zsh-completion-0.6.0-r0.apk2024-10-25 22:11 2.3K 
[   ]tree-sitter-pascal-doc-0.9.1-r0.apk2024-10-25 22:12 2.3K 
[   ]cyrus-sasl-xoauth2-doc-0.2-r1.apk2024-10-25 22:11 2.3K 
[   ]mml-fish-completion-1.0.0-r0.apk2024-10-25 22:11 2.3K 
[   ]empede-doc-0.2.3-r0.apk2024-10-25 22:11 2.3K 
[   ]sentinel-proxy-openrc-2.1.0-r0.apk2024-10-25 22:12 2.3K 
[   ]tree-sitter-caddy-doc-0_git20230322-r0.apk2024-10-25 22:12 2.3K 
[   ]ubuntu-archive-keyring-doc-2023.11.28.1-r0.apk2024-10-25 22:13 2.3K 
[   ]eludris-doc-0.3.3-r1.apk2024-10-25 22:11 2.3K 
[   ]powerstat-bash-completion-0.04.01-r0.apk2024-10-25 22:12 2.3K 
[   ]vectoroids-doc-1.1.0-r2.apk2024-10-25 22:13 2.3K 
[   ]faultstat-bash-completion-0.01.11-r0.apk2024-10-25 22:11 2.3K 
[   ]ouch-bash-completion-0.5.1-r0.apk2024-10-25 22:12 2.3K 
[   ]innernet-openrc-1.6.1-r0.apk2024-10-25 22:11 2.3K 
[   ]ddgr-fish-completion-2.2-r0.apk2024-10-25 22:11 2.3K 
[   ]perl-promise-es6-future-0.28-r0.apk2024-10-25 22:12 2.3K 
[   ]pnmixer-doc-0.7.2-r3.apk2024-10-25 22:12 2.3K 
[   ]thelounge-doc-4.4.3-r0.apk2024-10-25 22:12 2.3K 
[   ]bgs-doc-0.8-r1.apk2024-10-25 22:11 2.3K 
[   ]neocmakelsp-bash-completion-0.8.14-r0.apk2025-01-12 14:22 2.3K 
[   ]dublin-traceroute-doc-0.4.2-r4.apk2024-10-25 22:11 2.3K 
[   ]bird3-openrc-3.0.0-r0.apk2024-12-20 18:10 2.3K 
[   ]httpie-oauth-pyc-1.0.2-r9.apk2024-10-25 22:11 2.3K 
[   ]mml-bash-completion-1.0.0-r0.apk2024-10-25 22:11 2.3K 
[   ]slidge-openrc-0.1.3-r0.apk2024-10-25 22:12 2.3K 
[   ]mptcpize-doc-0.13-r1.apk2025-01-10 18:18 2.3K 
[   ]libtins-doc-4.5-r1.apk2024-10-25 22:11 2.3K 
[   ]tealdeer-zsh-completion-1.7.1-r0.apk2024-12-14 19:14 2.3K 
[   ]theme.sh-doc-1.1.5-r0.apk2024-10-25 22:12 2.3K 
[   ]mint-x-theme-2.1.1-r0.apk2024-10-25 22:11 2.3K 
[   ]maddy-doc-0.7.1-r6.apk2025-01-19 12:55 2.3K 
[   ]bootterm-dbg-0.5-r0.apk2024-10-25 22:11 2.3K 
[   ]cargo-flamegraph-bash-completion-0.6.7-r0.apk2025-01-13 12:49 2.3K 
[   ]json2tsv-jaq-doc-1.2-r0.apk2024-10-25 22:11 2.3K 
[   ]mpdris2-lang-0.9.1-r3.apk2024-10-25 22:11 2.3K 
[   ]river-shifttags-doc-0.2.1-r0.apk2024-10-25 22:12 2.3K 
[   ]perl-dns-unbound-anyevent-0.29-r1.apk2024-10-25 22:12 2.3K 
[   ]tonutils-reverse-proxy-doc-0.3.3-r1.apk2025-01-19 12:55 2.3K 
[   ]ifuse-doc-1.1.4-r5.apk2024-10-30 23:44 2.3K 
[   ]exercism-fish-completion-3.2.0-r8.apk2025-01-19 12:55 2.3K 
[   ]py3-sphinx-theme-bootstrap-pyc-0.8.1-r4.apk2024-10-25 22:12 2.3K 
[   ]xandikos-doc-0.2.12-r1.apk2024-11-25 04:43 2.4K 
[   ]gliderlabs-sigil-doc-0.11.0-r1.apk2025-01-19 12:55 2.4K 
[   ]qucs-s-doc-1.1.0-r1.apk2024-10-25 22:12 2.4K 
[   ]lxd-feature-openrc-5.20-r7.apk2025-01-19 12:55 2.4K 
[   ]gaupol-doc-1.12-r2.apk2024-10-25 22:11 2.4K 
[   ]pongoos-loader-0_git20210704-r1.apk2024-10-25 22:12 2.4K 
[   ]ouch-fish-completion-0.5.1-r0.apk2024-10-25 22:12 2.4K 
[   ]soapy-sdr-remote-doc-0.5.2-r1.apk2024-10-25 22:12 2.4K 
[   ]f_scripts-f_timer-0.6-r1.apk2024-10-25 22:11 2.4K 
[   ]py3-logfury-doc-1.0.1-r0.apk2024-10-25 22:12 2.4K 
[   ]btfs-doc-2.24-r12.apk2024-10-25 22:11 2.4K 
[   ]clipit-doc-1.4.5-r3.apk2024-10-25 22:11 2.4K 
[   ]openwsman-doc-2.7.2-r5.apk2024-10-25 22:12 2.4K 
[   ]ptpd-openrc-2.3.1-r1.apk2024-10-25 22:12 2.4K 
[   ]maxima-bash-completion-5.47.0-r8.apk2024-10-25 22:11 2.4K 
[   ]endlessh-doc-1.1-r0.apk2024-10-25 22:11 2.4K 
[   ]ghq-zsh-completion-1.7.1-r1.apk2025-01-19 12:55 2.4K 
[   ]py3-mnemonic-doc-0.21-r0.apk2024-10-25 22:12 2.4K 
[   ]halp-zsh-completion-0.2.0-r0.apk2024-10-25 22:11 2.4K 
[   ]otrs-bash-completion-6.0.48-r2.apk2024-10-25 22:12 2.4K 
[   ]policycoreutils-bash-completion-3.6-r1.apk2024-10-25 22:12 2.4K 
[   ]perl-dns-unbound-ioasync-0.29-r1.apk2024-10-25 22:12 2.4K 
[   ]ocp-indent-vim-1.8.2-r2.apk2024-10-25 22:12 2.4K 
[   ]ghq-fish-completion-1.7.1-r1.apk2025-01-19 12:55 2.4K 
[   ]lottieconverter-doc-0.2_git20231219-r0.apk2024-10-25 22:11 2.4K 
[   ]somebar-doc-1.0.3-r0.apk2024-10-25 22:12 2.4K 
[   ]apmpkg-zsh-completion-1.5.1-r3.apk2024-10-25 22:10 2.4K 
[   ]mdcat-zsh-completion-2.7.1-r0.apk2024-12-14 19:04 2.4K 
[   ]ideviceinstaller-doc-1.1.1-r4.apk2024-10-30 23:44 2.5K 
[   ]py3-flask-headers-pyc-1.0-r9.apk2024-10-25 22:12 2.5K 
[   ]mptcp-get-debug-0.13-r1.apk2025-01-10 18:18 2.5K 
[   ]kondo-zsh-completion-0.8-r0.apk2024-10-25 22:11 2.5K 
[   ]neocmakelsp-zsh-completion-0.8.14-r0.apk2025-01-12 14:22 2.5K 
[   ]libcotp-dev-3.1.0-r0.apk2024-10-25 22:11 2.5K 
[   ]tailspin-zsh-completion-3.0.0-r0.apk2024-10-25 22:12 2.5K 
[   ]flare-engine-doc-1.14-r0.apk2024-10-25 22:11 2.5K 
[   ]lsdvd-doc-0.17-r0.apk2024-10-25 22:11 2.5K 
[   ]py3-sphinx-theme-epfl-pyc-1.1.1-r9.apk2024-10-25 22:12 2.5K 
[   ]seed7-nano-05.20240322-r0.apk2024-10-25 22:12 2.5K 
[   ]beard-doc-0.4-r0.apk2024-10-25 22:10 2.5K 
[   ]frescobaldi-doc-3.3.0-r1.apk2024-10-25 22:11 2.5K 
[   ]bwrap-oci-doc-0.2-r1.apk2024-10-25 22:11 2.5K 
[   ]mktorrent-borg-doc-0.9.9-r1.apk2024-10-25 22:11 2.5K 
[   ]perl-plack-middleware-removeredundantbody-0.09-r0.apk2024-12-27 15:02 2.5K 
[   ]xfce4-mixer-doc-4.18.1-r2.apk2024-10-25 22:13 2.5K 
[   ]cargo-flamegraph-fish-completion-0.6.7-r0.apk2025-01-13 12:49 2.5K 
[   ]xlhtml-doc-0.5.1-r0.apk2024-10-25 22:13 2.5K 
[   ]vdr-plugin-dvbapi-lang-2.2.5-r0.apk2024-10-25 22:13 2.5K 
[   ]steamguard-cli-bash-completion-0.9.6-r0.apk2024-10-25 22:12 2.5K 
[   ]nicotine-plus-doc-3.3.7-r0.apk2024-12-16 15:21 2.5K 
[   ]perl-promise-es6-anyevent-0.28-r0.apk2024-10-25 22:12 2.5K 
[   ]hyfetch-zsh-completion-1.99.0-r1.apk2024-10-25 22:11 2.5K 
[   ]lsd-bash-completion-1.1.5-r0.apk2024-10-25 22:11 2.5K 
[   ]py3-eradicate-doc-2.3.0-r2.apk2024-10-25 22:12 2.5K 
[   ]pully-1.0.0-r0.apk2024-10-25 22:12 2.5K 
[   ]freshrss-openrc-1.23.1-r1.apk2024-10-25 22:11 2.5K 
[   ]stw-doc-0.3-r0.apk2024-10-25 22:12 2.5K 
[   ]xendmail-doc-0.4.3-r0.apk2024-10-25 22:13 2.5K 
[   ]f_scripts-f_rss-0.6-r1.apk2024-10-25 22:11 2.5K 
[   ]py3-igraph-dev-0.11.8-r0.apk2024-11-23 18:32 2.5K 
[   ]idevicerestore-doc-1.0.0-r4.apk2024-10-30 23:44 2.5K 
[   ]perl-datetime-timezone-alias-0.06-r0.apk2024-10-25 22:12 2.5K 
[   ]xgalaga-doc-2.1.1.0-r1.apk2024-10-25 22:13 2.5K 
[   ]alarmwakeup-dev-0.2.1-r0.apk2024-10-25 22:10 2.6K 
[   ]ustream-ssl-dev-20220116-r1.apk2024-10-25 22:13 2.6K 
[   ]f_scripts-f_theme-0.6-r1.apk2024-10-25 22:11 2.6K 
[   ]tup-vim-0.7.11-r0.apk2024-10-25 22:13 2.6K 
[   ]ol-doc-2.4-r0.apk2024-10-25 22:12 2.6K 
[   ]perl-promise-es6-mojo-ioloop-0.28-r0.apk2024-10-25 22:12 2.6K 
[   ]flann-doc-1.9.2-r0.apk2024-10-25 22:11 2.6K 
[   ]py3-flake8-blind-except-pyc-0.2.1-r4.apk2024-10-25 22:12 2.6K 
[   ]scooper-doc-1.3-r1.apk2024-10-25 22:12 2.6K 
[   ]py3-bottle-request-pyc-0.2.0-r9.apk2024-10-25 22:12 2.6K 
[   ]sentinel-minipot-openrc-2.3.0-r1.apk2024-10-25 22:12 2.6K 
[   ]nvim-cmp-lsp-doc-0.0.0_git20220516-r1.apk2024-10-25 22:11 2.6K 
[   ]lomiri-terminal-app-doc-2.0.3-r0.apk2024-11-12 18:18 2.6K 
[   ]rsstail-doc-2.1-r1.apk2024-10-25 22:12 2.6K 
[   ]xcompmgr-doc-1.1.9-r0.apk2024-10-25 22:13 2.6K 
[   ]lxappearance-doc-0.6.3-r3.apk2024-10-25 22:11 2.6K 
[   ]trantor-doc-1.5.18-r0.apk2024-10-25 22:12 2.6K 
[   ]xsoldier-doc-1.8-r2.apk2024-10-25 22:13 2.6K 
[   ]tremc-doc-0.9.3-r0.apk2024-10-25 22:12 2.6K 
[   ]py3-print-color-doc-0.4.6-r0.apk2024-10-25 22:12 2.6K 
[   ]py3-visitor-pyc-0.1.3-r7.apk2024-10-25 22:12 2.6K 
[   ]faust-vim-2.60.3-r2.apk2024-10-25 22:11 2.6K 
[   ]mpop-vim-1.4.21-r0.apk2025-01-14 06:15 2.6K 
[   ]nsnake-doc-3.0.0-r0.apk2024-10-25 22:11 2.6K 
[   ]virtme-ng-bash-completion-1.32-r0.apk2025-01-08 13:20 2.6K 
[   ]py3-luhn-pyc-0.2.0-r9.apk2024-10-25 22:12 2.6K 
[   ]sxcs-doc-1.1.0-r0.apk2024-10-25 22:12 2.6K 
[   ]kirc-doc-0.3.2-r0.apk2024-10-25 22:11 2.6K 
[   ]prosody-mod-webpresence-0.11_hg20201208-r0.apk2024-10-25 22:12 2.6K 
[   ]prosody-mod-auth_sql-0.11_hg20201208-r0.apk2024-10-25 22:12 2.6K 
[   ]rook-getattr-0.2.0-r2.apk2025-01-19 12:55 2.7K 
[   ]wch-isp-doc-0.4.1-r2.apk2024-10-25 22:13 2.7K 
[   ]mame-common-0.251-r0.apk2024-10-25 22:11 2.7K 
[   ]colormake-doc-0.9.20170221-r0.apk2024-10-25 22:11 2.7K 
[   ]prosody-mod-vcard_muc-0.11_hg20201208-r0.apk2024-10-25 22:12 2.7K 
[   ]tree-sitter-vim-doc-0.4.0-r0.apk2025-01-10 19:47 2.7K 
[   ]jami-qt-doc-20230925-r0.apk2024-10-25 22:11 2.7K 
[   ]perl-dns-unbound-mojo-0.29-r1.apk2024-10-25 22:12 2.7K 
[   ]pomo-doc-0.8.1-r19.apk2025-01-19 12:55 2.7K 
[   ]porla-openrc-0.41.0-r1.apk2024-12-05 21:56 2.7K 
[   ]ddgr-zsh-completion-2.2-r0.apk2024-10-25 22:11 2.7K 
[   ]py3-unidns-examples-0.0.1-r2.apk2024-10-25 22:12 2.7K 
[   ]libnih-doc-1.0.3-r7.apk2024-10-25 22:11 2.7K 
[   ]prosody-mod-register_redirect-0.11_hg20201208-r0.apk2024-10-25 22:12 2.7K 
[   ]tailspin-doc-3.0.0-r0.apk2024-10-25 22:12 2.7K 
[   ]perl-template-plugin-csv-0.04-r3.apk2024-10-25 22:12 2.7K 
[   ]perl-uri-tcp-2.0.0-r0.apk2024-10-25 22:12 2.7K 
[   ]ip2location-doc-8.6.1-r0.apk2024-10-25 22:11 2.7K 
[   ]passage-fish-completion-1.7.4_alpha2-r0.apk2024-12-24 12:03 2.7K 
[   ]h4h5tools-doc-2.2.5-r4.apk2024-10-25 22:11 2.7K 
[   ]perl-net-curl-promiser-anyevent-0.20-r0.apk2024-10-25 22:12 2.7K 
[   ]fzy-doc-1.0-r3.apk2024-10-25 22:11 2.7K 
[   ]quodlibet-zsh-completion-4.6.0-r1.apk2024-10-25 22:12 2.7K 
[   ]nb-fish-completion-7.15.0-r0.apk2024-12-15 22:49 2.7K 
[   ]b2sum-doc-20190729-r2.apk2024-10-25 22:10 2.7K 
[   ]perl-rxperl-anyevent-6.8.1-r0.apk2024-10-25 22:12 2.7K 
[   ]perl-time-moment-role-strptime-0.001-r0.apk2024-10-25 22:12 2.7K 
[   ]wayfire-doc-0.8.1-r1.apk2024-10-25 22:13 2.7K 
[   ]apulse-doc-0.1.13-r2.apk2024-10-25 22:10 2.8K 
[   ]barnyard2-openrc-2.1.14_git20160413-r1.apk2024-10-25 22:10 2.8K 
[   ]timew-bash-completion-1.4.3-r1.apk2024-10-25 22:12 2.8K 
[   ]lfm-doc-3.1-r4.apk2024-10-25 22:11 2.8K 
[   ]py3-utc-pyc-0.0.3-r9.apk2024-10-25 22:12 2.8K 
[   ]prosody-mod-server_status-0.11_hg20201208-r0.apk2024-10-25 22:12 2.8K 
[   ]gatling-openrc-0.16-r6.apk2024-10-25 22:11 2.8K 
[   ]dfu-programmer-bash-completion-1.1.0-r0.apk2024-10-25 22:11 2.8K 
[   ]nvim-gruvbox-doc-0.0.0_git20221212-r1.apk2024-10-25 22:11 2.8K 
[   ]py3-pytap2-doc-2.3.0-r0.apk2024-10-25 22:12 2.8K 
[   ]py3-pytest-home-pyc-0.6.0-r0.apk2024-10-25 22:12 2.8K 
[   ]f_scripts-f_youtube-0.6-r1.apk2024-10-25 22:11 2.8K 
[   ]66-tools-nsrules-0.1.1.0-r0.apk2025-01-12 14:12 2.8K 
[   ]mangr0ve-0.1.2-r0.apk2024-10-25 22:11 2.8K 
[   ]chim-doc-1.1.2-r1.apk2024-10-25 22:11 2.8K 
[   ]prosody-mod-auth_ldap-0.11_hg20201208-r0.apk2024-10-25 22:12 2.8K 
[   ]logtop-doc-0.7-r0.apk2024-10-25 22:11 2.8K 
[   ]hunspell-es-ar-doc-2.7-r0.apk2024-10-25 22:11 2.8K 
[   ]git-extras-bash-completion-7.3.0-r0.apk2024-11-18 19:00 2.8K 
[   ]py3-flask-gzip-pyc-0.2-r8.apk2024-10-25 22:12 2.8K 
[   ]opensm-openrc-3.3.24-r2.apk2024-10-25 22:12 2.8K 
[   ]perl-rxperl-ioasync-6.9.1-r0.apk2024-10-25 22:12 2.8K 
[   ]prosody-mod-host_guard-0.11_hg20201208-r0.apk2024-10-25 22:12 2.8K 
[   ]xcape-doc-1.2-r0.apk2024-10-25 22:13 2.8K 
[   ]reredirect-doc-0.3-r0.apk2024-10-25 22:12 2.8K 
[   ]mml-zsh-completion-1.0.0-r0.apk2024-10-25 22:11 2.8K 
[   ]perl-rxperl-mojo-6.8.2-r0.apk2024-10-25 22:12 2.8K 
[   ]py3-timeago-doc-1.0.16-r0.apk2024-10-25 22:12 2.8K 
[   ]coccinelle-bash-completion-1.1.1-r2.apk2024-10-25 22:11 2.9K 
[   ]gpa-doc-0.10.0-r2.apk2024-10-25 22:11 2.9K 
[   ]cargo-flamegraph-zsh-completion-0.6.7-r0.apk2025-01-13 12:49 2.9K 
[   ]dublin-traceroute-contrib-0.4.2-r4.apk2024-10-25 22:11 2.9K 
[   ]ouch-zsh-completion-0.5.1-r0.apk2024-10-25 22:12 2.9K 
[   ]zsh-manydots-magic-0_git20230607-r1.apk2024-10-25 22:13 2.9K 
[   ]sacc-doc-1.07-r0.apk2024-10-25 22:12 2.9K 
[   ]subtitleeditor-doc-0.54.0-r3.apk2024-10-25 22:12 2.9K 
[   ]makedumpfile-openrc-1.7.6-r0.apk2024-10-28 05:12 2.9K 
[   ]pounce-openrc-3.1-r3.apk2024-10-25 22:12 2.9K 
[   ]lomiri-location-service-doc-3.1.0-r7.apk2024-10-25 22:11 2.9K 
[   ]git-quick-stats-doc-2.5.8-r0.apk2024-10-25 22:11 2.9K 
[   ]ptyxis-doc-47.6-r0.apk2024-12-06 23:37 2.9K 
[   ]createrepo_c-bash-completion-1.1.4-r0.apk2024-10-25 22:11 2.9K 
[   ]pxmenu-1.0.0-r1.apk2024-10-25 22:12 2.9K 
[   ]desed-doc-1.2.1-r1.apk2024-10-25 22:11 2.9K 
[   ]pxalarm-3.0.0-r0.apk2024-10-25 22:12 2.9K 
[   ]mpdcron-zsh-completion-0.3-r1.apk2024-10-25 22:11 2.9K 
[   ]nb-zsh-completion-7.15.0-r0.apk2024-12-15 22:49 2.9K 
[   ]pamtester-doc-0.1.2-r4.apk2024-10-25 22:12 2.9K 
[   ]prosody-mod-http_upload_external-0.11_hg20201208-r0.apk2024-10-25 22:12 2.9K 
[   ]f_scripts-f_web-0.6-r1.apk2024-10-25 22:11 2.9K 
[   ]gtklock-doc-2.1.0-r0.apk2024-10-25 22:11 2.9K 
[   ]nkk-dev-0_git20221010-r0.apk2024-10-25 22:11 2.9K 
[   ]rke-doc-1.4.3-r11.apk2025-01-19 12:55 2.9K 
[   ]restic.mk-0.4.0-r0.apk2024-10-25 22:12 2.9K 
[   ]efibootguard-zsh-completion-0.16-r0.apk2024-10-25 22:11 2.9K 
[   ]libvisio2svg-dev-0.5.5-r3.apk2024-10-25 22:11 2.9K 
[   ]f_scripts-f_files-0.6-r1.apk2024-10-25 22:11 2.9K 
[   ]vcsh-bash-completion-2.0.5-r0.apk2024-10-25 22:13 2.9K 
[   ]vcsh-zsh-completion-2.0.5-r0.apk2024-10-25 22:13 2.9K 
[   ]nb-bash-completion-7.15.0-r0.apk2024-12-15 22:49 2.9K 
[   ]remake-dev-1.5-r1.apk2024-10-25 22:12 2.9K 
[   ]usbmuxd-doc-1.1.1-r8.apk2024-10-30 23:44 2.9K 
[   ]wl-clipboard-x11-doc-5-r3.apk2024-10-25 22:13 2.9K 
[   ]rankwidth-dev-0.9-r3.apk2024-10-25 22:12 2.9K 
[   ]perl-cairo-gobject-doc-1.005-r4.apk2024-10-25 22:12 2.9K 
[   ]rkdeveloptool-doc-1.1.0-r1.apk2024-10-25 22:12 3.0K 
[   ]passage-bash-completion-1.7.4_alpha2-r0.apk2024-12-24 12:03 3.0K 
[   ]perl-promise-es6-io-async-0.28-r0.apk2024-10-25 22:12 3.0K 
[   ]libabigail-bash-completion-2.3-r0.apk2024-10-25 22:11 3.0K 
[   ]passage-zsh-completion-1.7.4_alpha2-r0.apk2024-12-24 12:03 3.0K 
[   ]perl-cache-lru-0.04-r0.apk2024-10-25 22:12 3.0K 
[   ]lowjs-doc-1.6.2-r2.apk2024-10-25 22:11 3.0K 
[   ]satellite-doc-1.0.0-r24.apk2025-01-19 12:55 3.0K 
[   ]isomd5sum-doc-1.2.3-r3.apk2024-10-25 22:11 3.0K 
[   ]perl-net-curl-promiser-ioasync-0.20-r0.apk2024-10-25 22:12 3.0K 
[   ]lynis-bash-completion-3.1.1-r0.apk2024-10-25 22:11 3.0K 
[   ]p910nd-doc-0.97-r2.apk2024-10-25 22:12 3.0K 
[   ]qgis-doc-3.34.15-r0.apk2025-01-19 23:03 3.0K 
[   ]py3-pytaglib-pyc-1.5.0-r3.apk2024-10-25 22:12 3.0K 
[   ]pokoy-doc-0.2.5-r0.apk2024-10-25 22:12 3.0K 
[   ]hatop-doc-0.8.2-r0.apk2024-10-25 22:11 3.0K 
[   ]bchunk-doc-1.2.2-r3.apk2024-10-25 22:10 3.0K 
[   ]perl-linux-pid-doc-0.04-r13.apk2024-10-25 22:12 3.0K 
[   ]f_scripts-f_networks-0.6-r1.apk2024-10-25 22:11 3.0K 
[   ]spacer-doc-0.3.0-r0.apk2024-12-24 23:52 3.0K 
[   ]pipectl-doc-0.4.1-r1.apk2024-10-25 22:12 3.0K 
[   ]geonames-dev-0.3.1-r2.apk2024-10-25 22:11 3.0K 
[   ]libctl-doc-4.5.1-r1.apk2024-10-25 22:11 3.0K 
[   ]opendht-doc-3.1.7-r5.apk2024-12-14 20:46 3.0K 
[   ]ansiweather-doc-1.19.0-r1.apk2024-10-25 22:10 3.0K 
[   ]pastel-bash-completion-0.10.0-r0.apk2024-10-25 22:12 3.0K 
[   ]gdb-dashboard-doc-0.17.3-r0.apk2024-12-24 23:53 3.0K 
[   ]rankwidth-doc-0.9-r3.apk2024-10-25 22:12 3.0K 
[   ]faultstat-doc-0.01.11-r0.apk2024-10-25 22:11 3.0K 
[   ]cocogitto-bash-completion-6.2.0-r1.apk2024-12-12 22:08 3.0K 
[   ]llmnrd-doc-0.7-r1.apk2024-10-25 22:11 3.0K 
[   ]perl-template-plugin-csv-doc-0.04-r3.apk2024-10-25 22:12 3.0K 
[   ]cocogitto-zsh-completion-6.2.0-r1.apk2024-12-12 22:08 3.0K 
[   ]hwatch-doc-0.3.11-r0.apk2024-10-25 22:11 3.0K 
[   ]mptcpd-doc-0.13-r1.apk2025-01-10 18:18 3.0K 
[   ]py3-compdb-doc-0.2.0-r8.apk2024-10-25 22:12 3.0K 
[   ]perl-perlio-locale-doc-0.10-r12.apk2024-10-25 22:12 3.0K 
[   ]snapper-bash-completion-0.12.0-r0.apk2024-11-18 19:32 3.0K 
[   ]apmpkg-doc-1.5.1-r3.apk2024-10-25 22:10 3.1K 
[   ]py3-cchardet-pyc-2.1.7-r5.apk2024-10-25 22:12 3.1K 
[   ]perl-plack-middleware-reverseproxy-doc-0.16-r2.apk2024-10-25 22:12 3.1K 
[   ]mkg3a-doc-0.5.0-r1.apk2024-10-25 22:11 3.1K 
[   ]ocp-index-vim-1.3.6-r0.apk2024-10-25 22:12 3.1K 
[   ]laze-bash-completion-0.1.27-r0.apk2024-12-09 17:24 3.1K 
[   ]sedutil-doc-1.15.1-r1.apk2024-10-25 22:12 3.1K 
[   ]perl-plack-middleware-fixmissingbodyinredirect-doc-0.12-r0.apk2024-12-26 10:36 3.1K 
[   ]snore-doc-0.3.1-r0.apk2024-10-25 22:12 3.1K 
[   ]py3-log-symbols-pyc-0.0.14-r5.apk2024-10-25 22:12 3.1K 
[   ]rpi-imager-doc-1.9.0-r0.apk2024-10-25 22:12 3.1K 
[   ]tootik-openrc-0.15.1-r1.apk2025-01-19 12:55 3.1K 
[   ]csmith-doc-2.3.0-r2.apk2024-10-25 22:11 3.1K 
[   ]vkbasalt-doc-0.3.2.10-r0.apk2024-10-25 22:13 3.1K 
[   ]volatility3-doc-2.8.0-r0.apk2024-12-05 18:17 3.1K 
[   ]perl-plack-middleware-removeredundantbody-doc-0.09-r0.apk2024-12-27 15:02 3.1K 
[   ]perl-plack-middleware-fixmissingbodyinredirect-0.12-r0.apk2024-12-26 10:36 3.1K 
[   ]libopensmtpd-dev-0.7-r0.apk2024-10-25 22:11 3.1K 
[   ]perl-http-thin-0.006-r0.apk2024-10-25 22:12 3.1K 
[   ]luksmeta-dev-9-r0.apk2024-10-25 22:11 3.1K 
[   ]perl-multidimensional-doc-0.014-r0.apk2024-10-25 22:12 3.1K 
[   ]timeshift-doc-24.06.5-r0.apk2024-12-05 21:47 3.1K 
[   ]trippy-bash-completion-0.12.2-r0.apk2025-01-04 23:30 3.1K 
[   ]prosody-mod-bookmarks-0.11_hg20201208-r0.apk2024-10-25 22:12 3.1K 
[   ]memdump-doc-1.01-r1.apk2024-10-25 22:11 3.1K 
[   ]perl-net-curl-promiser-mojo-0.20-r0.apk2024-10-25 22:12 3.1K 
[   ]kbs2-bash-completion-0.7.2-r3.apk2024-10-25 22:11 3.1K 
[   ]tty-clock-doc-2.3_git20240104-r0.apk2024-10-25 22:13 3.1K 
[   ]lotide-openrc-0.15.0-r0.apk2024-10-25 22:11 3.1K 
[   ]dwl-doc-0.7-r0.apk2024-10-25 22:11 3.1K 
[   ]harminv-dev-1.4.2-r1.apk2024-10-25 22:11 3.1K 
[   ]perl-json-maybeutf8-2.000-r0.apk2024-10-25 22:12 3.1K 
[   ]py3-bottle-redis-pyc-0.2.3-r6.apk2024-10-25 22:12 3.1K 
[   ]glow-doc-2.0.0-r1.apk2025-01-19 12:55 3.1K 
[   ]py3-bottle-websocket-pyc-0.2.9-r8.apk2024-10-25 22:12 3.1K 
[   ]beard-0.4-r0.apk2024-10-25 22:10 3.1K 
[   ]today-6.2.0-r0.apk2024-10-25 22:12 3.1K 
[   ]perl-math-libm-doc-1.00-r14.apk2024-10-25 22:12 3.1K 
[   ]py3-sphinxcontrib-manpage-pyc-0.6-r8.apk2024-10-25 22:12 3.1K 
[   ]lsd-fish-completion-1.1.5-r0.apk2024-10-25 22:11 3.1K 
[   ]perl-datetime-format-atom-1.8.0-r0.apk2025-01-05 16:08 3.1K 
[   ]ptylie-doc-0.2-r1.apk2024-10-25 22:12 3.2K 
[   ]caffeine-ng-doc-4.2.0-r1.apk2024-10-25 22:11 3.2K 
[   ]perl-plack-middleware-reverseproxy-0.16-r2.apk2024-10-25 22:12 3.2K 
[   ]perl-bareword-filehandles-doc-0.007-r0.apk2024-10-25 22:12 3.2K 
[   ]jalv-doc-1.6.8-r1.apk2024-10-25 22:11 3.2K 
[   ]perl-digest-crc-doc-0.24-r1.apk2024-10-25 22:12 3.2K 
[   ]py3-flask-gzip-0.2-r8.apk2024-10-25 22:12 3.2K 
[   ]perl-uri-redis-0.02-r0.apk2024-10-25 22:12 3.2K 
[   ]uclient-dev-20210514-r0.apk2024-10-25 22:13 3.2K 
[   ]perl-string-camelcase-0.04-r2.apk2024-10-25 22:12 3.2K 
[   ]stubbyboot-1.0.4-r0.apk2025-01-10 13:38 3.2K 
[   ]xf86-video-vboxvideo-doc-1.0.0-r1.apk2024-10-25 22:13 3.2K 
[   ]libdng-dev-0.2.1-r0.apk2024-12-27 23:10 3.2K 
[   ]witchery-0.0.3-r2.apk2024-10-25 22:13 3.2K 
[   ]nuzzle-doc-1.6-r0.apk2025-01-17 15:21 3.2K 
[   ]perl-flowd-doc-0.9.1-r10.apk2024-10-25 22:12 3.2K 
[   ]xload-doc-1.1.4-r0.apk2024-10-25 22:13 3.2K 
[   ]py3-flask-headers-1.0-r9.apk2024-10-25 22:12 3.2K 
[   ]py3-bottle-request-0.2.0-r9.apk2024-10-25 22:12 3.2K 
[   ]perl-cache-lru-doc-0.04-r0.apk2024-10-25 22:12 3.2K 
[   ]pastel-fish-completion-0.10.0-r0.apk2024-10-25 22:12 3.2K 
[   ]persistent-cache-cpp-doc-1.0.7-r2.apk2024-10-25 22:12 3.2K 
[   ]kbs2-fish-completion-0.7.2-r3.apk2024-10-25 22:11 3.2K 
[   ]lxappearance-dev-0.6.3-r3.apk2024-10-25 22:11 3.2K 
[   ]hub-fish-completion-2.14.2-r27.apk2025-01-19 12:55 3.2K 
[   ]nitrocli-bash-completion-0.4.1-r3.apk2024-10-25 22:11 3.2K 
[   ]perl-future-asyncawait-hooks-doc-0.02-r0.apk2024-10-25 22:12 3.2K 
[   ]tmpmail-doc-1.2.3-r2.apk2024-10-25 22:12 3.2K 
[   ]py3-hurry.filesize-pyc-0.9-r8.apk2024-10-25 22:12 3.3K 
[   ]py3-allfiles-pyc-1.0-r8.apk2024-10-25 22:12 3.3K 
[   ]prosody-mod-conversejs-0.11_hg20201208-r0.apk2024-10-25 22:12 3.3K 
[   ]openocd-riscv-udev-rules-0_git20230104-r2.apk2024-10-25 22:12 3.3K 
[   ]perl-variable-disposition-0.005-r0.apk2024-10-25 22:12 3.3K 
[   ]today-doc-6.2.0-r0.apk2024-10-25 22:12 3.3K 
[   ]compiz-utils-0.9.14.2-r7.apk2024-11-22 19:16 3.3K 
[   ]fatrace-doc-0.17.0-r0.apk2024-10-25 22:11 3.3K 
[   ]greetd-mini-wl-greeter-doc-0_git20230821-r0.apk2024-10-25 22:11 3.3K 
[   ]hyfetch-bash-completion-1.99.0-r1.apk2024-10-25 22:11 3.3K 
[   ]perl-devel-leak-doc-0.03-r13.apk2024-10-25 22:12 3.3K 
[   ]initify-0_git20171210-r1.apk2024-10-25 22:11 3.3K 
[   ]openocd-esp32-doc-0_git20230921-r5.apk2024-10-25 22:12 3.3K 
[   ]wlclock-doc-1.0.1-r0.apk2024-10-25 22:13 3.3K 
[   ]openocd-riscv-doc-0_git20230104-r2.apk2024-10-25 22:12 3.3K 
[   ]cocogitto-fish-completion-6.2.0-r1.apk2024-12-12 22:08 3.3K 
[   ]openocd-git-doc-0_git20240113-r1.apk2024-10-25 22:12 3.3K 
[   ]prjtrellis-db-0_git20230929-r0.apk2024-10-25 22:12 3.3K 
[   ]xiccd-doc-0.3.0_git20211219-r1.apk2024-10-25 22:13 3.3K 
[   ]py3-bottle-redis-0.2.3-r6.apk2024-10-25 22:12 3.3K 
[   ]py3-flask-components-pyc-0.1.1-r9.apk2024-10-25 22:12 3.3K 
[   ]toml2json-doc-1.3.1-r0.apk2024-10-25 22:12 3.3K 
[   ]libideviceactivation-dev-1.1.1-r5.apk2024-10-30 23:44 3.3K 
[   ]sqlar-doc-0_git20180107-r1.apk2024-10-25 22:12 3.3K 
[   ]nvim-cmp-cmdline-0.0.0_git20220902-r1.apk2024-10-25 22:11 3.3K 
[   ]ccze-dev-0.2.1-r1.apk2024-10-25 22:11 3.3K 
[   ]pick-doc-4.0.0-r0.apk2024-10-25 22:12 3.3K 
[   ]fastd-doc-22-r3.apk2024-10-25 22:11 3.3K 
[   ]perl-protocol-redis-faster-doc-0.003-r0.apk2024-10-25 22:12 3.3K 
[   ]py3-anyascii-pyc-0.3.2-r1.apk2024-10-25 22:12 3.3K 
[   ]netscanner-doc-0.5.1-r1.apk2024-10-25 22:11 3.3K 
[   ]octoprint-creality2xfix-pyc-0.0.4-r2.apk2024-10-25 22:12 3.3K 
[   ]pasystray-doc-0.8.2-r0.apk2024-10-25 22:12 3.3K 
[   ]py3-sphinxcontrib-gist-pyc-0.1.0-r9.apk2024-10-25 22:12 3.3K 
[   ]click-doc-0.5.2-r3.apk2024-10-25 22:11 3.3K 
[   ]f_scripts-f_audio-0.6-r1.apk2024-10-25 22:11 3.3K 
[   ]laze-fish-completion-0.1.27-r0.apk2024-12-09 17:24 3.3K 
[   ]py3-flake8-copyright-pyc-0.2.4-r3.apk2024-10-25 22:12 3.3K 
[   ]ssss-doc-0.5.7-r0.apk2024-10-25 22:12 3.3K 
[   ]lomiri-url-dispatcher-dev-0.1.3-r2.apk2024-10-25 22:11 3.3K 
[   ]trace-cmd-bash-completion-3.3.1-r1.apk2025-01-19 12:55 3.3K 
[   ]perl-plack-middleware-expires-doc-0.06-r3.apk2024-10-25 22:12 3.4K 
[   ]zita-resampler-dev-1.10.1-r0.apk2024-10-25 22:13 3.4K 
[   ]rio-terminfo-0.2.4-r0.apk2025-01-19 12:55 3.4K 
[   ]rezolus-doc-2.11.1-r3.apk2024-10-25 22:12 3.4K 
[   ]httpie-oauth-1.0.2-r9.apk2024-10-25 22:11 3.4K 
[   ]noice-doc-0.8-r1.apk2024-10-25 22:11 3.4K 
[   ]perl-time-moment-role-strptime-doc-0.001-r0.apk2024-10-25 22:12 3.4K 
[   ]solarus-engine-doc-1.7.0-r0.apk2024-10-25 22:12 3.4K 
[   ]perl-ppi-xs-doc-0.910-r1.apk2024-10-25 22:12 3.4K 
[   ]perl-string-crc32-doc-2.100-r4.apk2024-10-25 22:12 3.4K 
[   ]perl-scalar-readonly-doc-0.03-r1.apk2024-10-25 22:12 3.4K 
[   ]lua-fn-0.1.0-r0.apk2024-10-25 22:11 3.4K 
[   ]pixiewps-doc-1.4.2-r1.apk2024-10-25 22:12 3.4K 
[   ]openocd-esp32-dev-0_git20230921-r5.apk2024-10-25 22:12 3.4K 
[   ]perl-ref-util-xs-doc-0.117-r8.apk2024-10-25 22:12 3.4K 
[   ]py3-ovos-ocp-m3u-plugin-pyc-0.0.2-r0.apk2024-10-25 22:12 3.4K 
[   ]perl-tickit-widget-choice-doc-0.07-r0.apk2024-10-25 22:12 3.4K 
[   ]openocd-git-dev-0_git20240113-r1.apk2024-10-25 22:12 3.4K 
[   ]openocd-git-udev-rules-0_git20240113-r1.apk2024-10-25 22:12 3.4K 
[   ]hurl-fish-completion-6.0.0-r0.apk2024-12-07 21:18 3.4K 
[   ]openocd-esp32-udev-rules-0_git20230921-r5.apk2024-10-25 22:12 3.4K 
[   ]wl-clipboard-x11-5-r3.apk2024-10-25 22:13 3.4K 
[   ]lzfse-dev-1.0-r0.apk2024-10-25 22:11 3.4K 
[   ]ampy-doc-1.1.0-r5.apk2024-10-25 22:10 3.4K 
[   ]perl-protocol-redis-faster-0.003-r0.apk2024-10-25 22:12 3.4K 
[   ]py3-flask-json-schema-pyc-0.0.5-r4.apk2024-10-25 22:12 3.4K 
[   ]copyq-doc-9.1.0-r1.apk2024-12-12 18:43 3.4K 
[   ]lsd-zsh-completion-1.1.5-r0.apk2024-10-25 22:11 3.4K 
[   ]perl-module-build-prereqs-fromcpanfile-0.02-r0.apk2024-10-25 22:12 3.4K 
[   ]perl-http-thin-doc-0.006-r0.apk2024-10-25 22:12 3.5K 
[   ]perl-net-address-ip-local-0.1.2-r0.apk2024-10-25 22:12 3.5K 
[   ]dfl-login1-dev-0.2.0-r0.apk2024-10-25 22:11 3.5K 
[   ]bcg729-dev-1.1.1-r0.apk2024-10-25 22:10 3.5K 
[   ]ijq-doc-1.1.0-r4.apk2025-01-19 12:55 3.5K 
[   ]perl-string-camelcase-doc-0.04-r2.apk2024-10-25 22:12 3.5K 
[   ]libuninameslist-dev-20230916-r0.apk2024-10-25 22:11 3.5K 
[   ]py3-utc-0.0.3-r9.apk2024-10-25 22:12 3.5K 
[   ]nvim-cmp-lsp-0.0.0_git20220516-r1.apk2024-10-25 22:11 3.5K 
[   ]py3-sphinxcontrib-fulltoc-pyc-1.2.0-r8.apk2024-10-25 22:12 3.5K 
[   ]twinkle-doc-1.10.3-r3.apk2025-01-04 22:55 3.5K 
[   ]logc-libevent-0.1.0-r0.apk2024-10-25 22:11 3.5K 
[   ]perl-net-address-ip-local-doc-0.1.2-r0.apk2024-10-25 22:12 3.5K 
[   ]laze-doc-0.1.27-r0.apk2024-12-09 17:24 3.5K 
[   ]wireguard-go-doc-0.0.20230223-r8.apk2025-01-19 12:55 3.5K 
[   ]ruby-simplecov_json_formatter-0.1.4-r0.apk2024-10-25 22:12 3.5K 
[   ]tldr-python-client-doc-3.3.0-r0.apk2024-12-01 18:09 3.5K 
[   ]enlighten-doc-0.9.2-r1.apk2024-10-25 22:11 3.5K 
[   ]snapper-zsh-completion-0.12.0-r0.apk2024-11-18 19:32 3.5K 
[   ]laze-zsh-completion-0.1.27-r0.apk2024-12-09 17:24 3.5K 
[   ]aptdec-dev-1.8.0-r0.apk2024-10-25 22:10 3.5K 
[   ]extrace-doc-0.9-r0.apk2024-10-25 22:11 3.5K 
[   ]shellinabox-openrc-2.21-r3.apk2024-10-25 22:12 3.5K 
[   ]nvim-cmp-luasnip-0.0.0_git20220501-r1.apk2024-10-25 22:11 3.5K 
[   ]maddy-vim-0.7.1-r6.apk2025-01-19 12:55 3.5K 
[   ]rgxg-dev-0.1.2-r2.apk2024-10-25 22:12 3.5K 
[   ]perl-test-randomresult-0.001-r0.apk2024-10-25 22:12 3.5K 
[   ]nvtop-doc-3.1.0-r0.apk2024-10-25 22:11 3.5K 
[   ]kabmat-doc-2.7.0-r0.apk2024-10-25 22:11 3.5K 
[   ]perl-proc-guard-doc-0.07-r4.apk2024-10-25 22:12 3.5K 
[   ]fildesh-vim-0.2.0-r0.apk2024-10-25 22:11 3.5K 
[   ]perl-check-unitcheck-doc-0.13-r1.apk2024-10-25 22:12 3.6K 
[   ]perl-json-maybeutf8-doc-2.000-r0.apk2024-10-25 22:12 3.6K 
[   ]perl-time-moment-role-timezone-1.000-r0.apk2024-10-25 22:12 3.6K 
[   ]opensmtpd-filter-dkimsign-doc-0.6-r1.apk2024-10-25 22:12 3.6K 
[   ]py3-allfiles-1.0-r8.apk2024-10-25 22:12 3.6K 
[   ]cutechess-doc-1.3.1-r0.apk2024-10-25 22:11 3.6K 
[   ]steamguard-cli-zsh-completion-0.9.6-r0.apk2024-10-25 22:12 3.6K 
[   ]ffsend-fish-completion-0.2.76-r4.apk2024-10-25 22:11 3.6K 
[   ]doasedit-1.0.7-r0.apk2024-10-25 22:11 3.6K 
[   ]gsettings-qt-dev-0.2_git20220807-r1.apk2024-10-25 22:11 3.6K 
[   ]perl-test-describeme-0.004-r0.apk2024-10-25 22:12 3.6K 
[   ]py3-flake8-todo-0.7-r7.apk2024-10-25 22:12 3.6K 
[   ]pacparser-dev-1.4.5-r1.apk2024-10-25 22:12 3.6K 
[   ]efibootguard-bash-completion-0.16-r0.apk2024-10-25 22:11 3.6K 
[   ]ocaml-qtest-dev-2.11.2-r3.apk2024-10-25 22:12 3.6K 
[   ]dfl-ipc-dev-0.2.0-r0.apk2024-10-25 22:11 3.6K 
[   ]ffsend-bash-completion-0.2.76-r4.apk2024-10-25 22:11 3.6K 
[   ]lua-resty-upload-0.11-r0.apk2024-10-25 22:11 3.6K 
[   ]opkg-utils-doc-0.7.0-r0.apk2024-10-25 22:12 3.6K 
[   ]rattler-build-bash-completion-0.18.0-r0.apk2024-10-25 22:12 3.6K 
[   ]perl-bind-config-parser-doc-0.01-r5.apk2024-10-25 22:12 3.6K 
[   ]ircd-hybrid-doc-8.2.45-r1.apk2024-10-25 22:11 3.6K 
[   ]py3-ecos-pyc-2.0.11-r4.apk2024-10-25 22:12 3.6K 
[   ]swappy-lang-1.5.1-r0.apk2024-10-25 22:12 3.6K 
[   ]otpclient-doc-4.0.2-r0.apk2024-10-25 22:12 3.6K 
[   ]py3-pydes-doc-2.0.1-r5.apk2024-10-25 22:12 3.6K 
[   ]swig3-doc-3.0.12-r3.apk2024-10-25 22:12 3.6K 
[   ]hub-zsh-completion-2.14.2-r27.apk2025-01-19 12:55 3.7K 
[   ]pulseview-doc-0.4.2-r8.apk2024-10-25 22:12 3.7K 
[   ]libjodycode-doc-3.1.1-r0.apk2024-10-25 22:11 3.7K 
[   ]ticker-zsh-completion-4.7.1-r1.apk2025-01-19 12:55 3.7K 
[   ]ouch-doc-0.5.1-r0.apk2024-10-25 22:12 3.7K 
[   ]wok-doc-3.0.0-r6.apk2024-10-25 22:13 3.7K 
[   ]perl-role-eventemitter-0.003-r0.apk2024-10-25 22:12 3.7K 
[   ]py3-flake8-snippets-pyc-0.2-r8.apk2024-10-25 22:12 3.7K 
[   ]perl-test-randomresult-doc-0.001-r0.apk2024-10-25 22:12 3.7K 
[   ]pebble-le-doc-0.3.0-r2.apk2024-12-14 20:46 3.7K 
[   ]perl-sys-syscall-doc-0.25-r10.apk2024-10-25 22:12 3.7K 
[   ]perl-proc-guard-0.07-r4.apk2024-10-25 22:12 3.7K 
[   ]rook-autotype-0.2.0-r2.apk2025-01-19 12:55 3.7K 
[   ]dropwatch-doc-1.5.4-r6.apk2024-10-25 22:11 3.7K 
[   ]wsmancli-doc-2.6.2-r0.apk2024-10-25 22:13 3.7K 
[   ]swappy-doc-1.5.1-r0.apk2024-10-25 22:12 3.7K 
[   ]py3-flask-accept-pyc-0.0.6-r1.apk2024-10-25 22:12 3.7K 
[   ]mdp-doc-1.0.15-r1.apk2024-10-25 22:11 3.7K 
[   ]perl-b-hooks-op-check-doc-0.22-r0.apk2024-10-25 22:12 3.7K 
[   ]rdrview-doc-0.1.2-r0.apk2024-10-25 22:12 3.7K 
[   ]prosody-mod-pastebin-0.11_hg20201208-r0.apk2024-10-25 22:12 3.7K 
[   ]openocd-riscv-dev-0_git20230104-r2.apk2024-10-25 22:12 3.7K 
[   ]py3-cookiecutter-doc-2.6.0-r1.apk2024-10-25 22:12 3.7K 
[   ]py3-ovos-ocp-rss-plugin-pyc-0.0.3-r0.apk2024-10-25 22:12 3.7K 
[   ]hexdiff-doc-0.0.53-r2.apk2024-10-25 22:11 3.7K 
[   ]ocp-indent-emacs-1.8.2-r2.apk2024-10-25 22:12 3.7K 
[   ]py3-pycolorterm-pyc-0.2.1-r6.apk2024-10-25 22:12 3.7K 
[   ]authenticator-rs-lang-0.7.5-r0.apk2024-10-25 22:10 3.7K 
[   ]py3-bottle-renderer-pyc-0.1.1-r9.apk2024-10-25 22:12 3.7K 
[   ]perl-term-size-doc-0.211-r4.apk2024-10-25 22:12 3.7K 
[   ]py3-infinity-pyc-1.5-r6.apk2024-10-25 22:12 3.7K 
[   ]perl-feed-find-doc-0.13-r0.apk2024-10-25 22:12 3.7K 
[   ]perl-sort-versions-1.62-r0.apk2024-10-25 22:12 3.7K 
[   ]tuptime-doc-5.2.4-r1.apk2024-11-28 23:44 3.7K 
[   ]rauc-service-1.10.1-r0.apk2024-10-25 22:12 3.7K 
[   ]gupnp-doc-1.6.8-r0.apk2025-01-20 15:48 3.7K 
[   ]winetricks-doc-20240105-r0.apk2024-11-12 11:49 3.8K 
[   ]volumeicon-lang-0.5.1-r1.apk2024-10-25 22:13 3.8K 
[   ]perl-math-random-isaac-xs-doc-1.004-r8.apk2024-10-25 22:12 3.8K 
[   ]perl-datetime-format-atom-doc-1.8.0-r0.apk2025-01-05 16:08 3.8K 
[   ]nvim-cmp-path-0.0.0_git20221002-r1.apk2024-10-25 22:11 3.8K 
[   ]dumb_runtime_dir-1.0.4-r3.apk2024-10-25 22:11 3.8K 
[   ]libsds-dev-2.0.0-r1.apk2024-10-25 22:11 3.8K 
[   ]perl-module-build-prereqs-fromcpanfile-doc-0.02-r0.apk2024-10-25 22:12 3.8K 
[   ]sct-2018.12.18-r1.apk2024-10-25 22:12 3.8K 
[   ]finger-doc-0.5-r0.apk2024-10-25 22:11 3.8K 
[   ]perl-time-timegm-doc-0.01-r9.apk2024-10-25 22:12 3.8K 
[   ]perl-test2-tools-explain-0.02-r0.apk2024-10-25 22:12 3.8K 
[   ]perl-email-mime-attachment-stripper-doc-1.317-r5.apk2024-10-25 22:12 3.8K 
[   ]perl-test-useallmodules-0.17-r1.apk2024-10-25 22:12 3.8K 
[   ]innernet-bash-completion-1.6.1-r0.apk2024-10-25 22:11 3.8K 
[   ]ticker-fish-completion-4.7.1-r1.apk2025-01-19 12:55 3.8K 
[   ]perl-tickit-widget-entry-plugin-completion-doc-0.02-r0.apk2024-10-25 22:12 3.8K 
[   ]primecount-doc-7.14-r0.apk2024-10-25 22:12 3.8K 
[   ]csol-doc-1.6.0-r0.apk2024-10-25 22:11 3.8K 
[   ]foolsm-doc-1.0.21-r0.apk2024-10-25 22:11 3.9K 
[   ]perl-feed-find-0.13-r0.apk2024-10-25 22:12 3.9K 
[   ]perl-lib-abs-0.95-r0.apk2024-10-25 22:12 3.9K 
[   ]py3-flask-markdown-pyc-0.3-r8.apk2024-10-25 22:12 3.9K 
[   ]crosstool-ng-doc-1.26.0_git20240914-r0.apk2024-10-25 22:11 3.9K 
[   ]mangal-fish-completion-4.0.6-r14.apk2025-01-19 12:55 3.9K 
[   ]emacs-avy-embark-collect-1.0_git20240327-r0.apk2024-10-25 22:11 3.9K 
[   ]perl-context-preserve-0.03-r4.apk2024-10-25 22:12 3.9K 
[   ]certbot-dns-pdns-pyc-0.1.1-r0.apk2024-10-25 22:11 3.9K 
[   ]perl-test-useallmodules-doc-0.17-r1.apk2024-10-25 22:12 3.9K 
[   ]perl-bind-config-parser-0.01-r5.apk2024-10-25 22:12 3.9K 
[   ]git-bug-fish-completion-0.8.0-r15.apk2025-01-19 12:55 3.9K 
[   ]perl-plack-middleware-expires-0.06-r3.apk2024-10-25 22:12 3.9K 
[   ]hurl-zsh-completion-6.0.0-r0.apk2024-12-07 21:18 3.9K 
[   ]py3-stringcase-pyc-1.2.0-r8.apk2024-10-25 22:12 3.9K 
[   ]netsurf-framebuffer-doc-3.11-r0.apk2024-10-25 22:11 3.9K 
[   ]perl-uri-nested-doc-0.10-r0.apk2024-10-25 22:12 3.9K 
[   ]tncattach-doc-0.1.9-r1.apk2024-10-25 22:12 3.9K 
[   ]py3-sphinxcontrib-gist-0.1.0-r9.apk2024-10-25 22:12 3.9K 
[   ]mml-doc-1.0.0-r0.apk2024-10-25 22:11 3.9K 
[   ]z-doc-1.12-r0.apk2024-10-25 22:13 3.9K 
[   ]py3-flask-components-0.1.1-r9.apk2024-10-25 22:12 3.9K 
[   ]perl-tickit-widget-choice-0.07-r0.apk2024-10-25 22:12 3.9K 
[   ]qt-wayland-shell-helpers-dev-0.1.1-r3.apk2024-10-25 22:12 3.9K 
[   ]k3sup-zsh-completion-0.13.6-r1.apk2025-01-19 12:55 3.9K 
[   ]mangal-zsh-completion-4.0.6-r14.apk2025-01-19 12:55 3.9K 
[   ]libiml-dev-1.0.5-r3.apk2024-10-25 22:11 3.9K 
[   ]cargo-machete-doc-0.7.0-r0.apk2024-12-28 19:16 3.9K 
[   ]perl-class-inner-0.200001-r5.apk2024-10-25 22:12 4.0K 
[   ]perl-log-message-simple-doc-0.10-r3.apk2024-10-25 22:12 4.0K 
[   ]git-bug-zsh-completion-0.8.0-r15.apk2025-01-19 12:55 4.0K 
[   ]perl-role-eventemitter-doc-0.003-r0.apk2024-10-25 22:12 4.0K 
[   ]perl-types-path-tiny-0.006-r0.apk2024-10-25 22:12 4.0K 
[   ]perl-lib-abs-doc-0.95-r0.apk2024-10-25 22:12 4.0K 
[   ]ko-zsh-completion-0.17.1-r1.apk2025-01-19 12:55 4.0K 
[   ]ovos-skill-hello-world-pyc-0.0.4_alpha3-r1.apk2024-10-25 22:12 4.0K 
[   ]perl-email-mime-attachment-stripper-1.317-r5.apk2024-10-25 22:12 4.0K 
[   ]knative-client-zsh-completion-1.16.1-r1.apk2025-01-19 12:55 4.0K 
[   ]welle-io-doc-2.6-r0.apk2024-12-21 23:25 4.0K 
[   ]py3-luhn-0.2.0-r9.apk2024-10-25 22:12 4.0K 
[   ]tenv-zsh-completion-3.2.4-r3.apk2025-01-19 12:55 4.0K 
[   ]xfe-doc-1.46.2-r0.apk2024-10-25 22:13 4.0K 
[   ]glow-zsh-completion-2.0.0-r1.apk2025-01-19 12:55 4.0K 
[   ]perl-anyevent-dns-etchosts-doc-0.0105-r0.apk2024-10-25 22:12 4.0K 
[   ]upterm-zsh-completion-0.14.3-r1.apk2025-01-19 12:55 4.0K 
[   ]kubeone-zsh-completion-1.9.1-r1.apk2025-01-19 12:55 4.0K 
[   ]perl-time-moment-role-timezone-doc-1.000-r0.apk2024-10-25 22:12 4.0K 
[   ]py3-bottle-renderer-0.1.1-r9.apk2024-10-25 22:12 4.0K 
[   ]timoni-zsh-completion-0.23.0-r1.apk2025-01-19 12:55 4.0K 
[   ]wgcf-zsh-completion-2.2.24-r1.apk2025-01-19 12:55 4.0K 
[   ]stern-zsh-completion-1.31.0-r1.apk2025-01-19 12:55 4.0K 
[   ]regal-zsh-completion-0.29.2-r1.apk2025-01-19 12:55 4.0K 
[   ]helmfile-zsh-completion-0.169.2-r1.apk2025-01-19 12:55 4.0K 
[   ]virtctl-zsh-completion-1.4.0-r1.apk2025-01-19 12:55 4.0K 
[   ]cilium-cli-zsh-completion-0.16.13-r1.apk2025-01-19 12:55 4.0K 
[   ]kubepug-zsh-completion-1.7.1-r6.apk2025-01-19 12:55 4.0K 
[   ]virter-zsh-completion-0.28.1-r1.apk2025-01-19 12:55 4.0K 
[   ]kbs2-zsh-completion-0.7.2-r3.apk2024-10-25 22:11 4.0K 
[   ]cowsay-doc-3.04-r2.apk2024-10-25 22:11 4.0K 
[   ]hubble-cli-zsh-completion-0.13.6-r1.apk2025-01-19 12:55 4.0K 
[   ]primesieve-doc-12.6-r0.apk2024-12-14 19:14 4.0K 
[   ]bomctl-zsh-completion-0.1.9-r2.apk2025-01-19 12:55 4.0K 
[   ]sing-box-zsh-completion-1.10.7-r1.apk2025-01-19 12:55 4.0K 
[   ]perl-uri-nested-0.10-r0.apk2024-10-25 22:12 4.0K 
[   ]seed7-vim-05.20240322-r0.apk2024-10-25 22:12 4.0K 
[   ]py3-django-taggit-serializer-0.1.7-r8.apk2024-10-25 22:12 4.0K 
[   ]topgit-bash-completion-0.19.13-r1.apk2024-10-25 22:12 4.0K 
[   ]otrs-apache2-6.0.48-r2.apk2024-10-25 22:12 4.0K 
[   ]colormake-0.9.20170221-r0.apk2024-10-25 22:11 4.0K 
[   ]megatools-bash-completion-1.11.1.20241028-r0.apk2024-10-29 20:34 4.0K 
[   ]py3-pacparser-pyc-1.4.5-r1.apk2024-10-25 22:12 4.0K 
[   ]shfm-0.4.2-r1.apk2024-10-25 22:12 4.0K 
[   ]admesh-dev-0.98.5-r0.apk2024-10-25 22:10 4.0K 
[   ]mcqd-dev-1.0.0-r1.apk2024-10-25 22:11 4.0K 
[   ]cpuburn-1.4a_git20160316-r2.apk2024-10-25 22:11 4.1K 
[   ]warpinator-nemo-1.8.7-r0.apk2024-12-24 11:09 4.1K 
[   ]zita-resampler-doc-1.10.1-r0.apk2024-10-25 22:13 4.1K 
[   ]py3-logtop-pyc-0.7-r0.apk2024-10-25 22:12 4.1K 
[   ]perl-lv-doc-0.006-r0.apk2024-10-25 22:12 4.1K 
[   ]ruby-simplecov-doc-0.22.0-r0.apk2024-10-25 22:12 4.1K 
[   ]perl-html-selector-xpath-doc-0.28-r0.apk2024-10-25 22:12 4.1K 
[   ]py3-flask-basicauth-pyc-0.2.0-r9.apk2024-10-25 22:12 4.1K 
[   ]perl-lv-0.006-r0.apk2024-10-25 22:12 4.1K 
[   ]libirecovery-dev-1.2.1-r0.apk2024-10-30 23:44 4.1K 
[   ]py3-flask-cdn-pyc-1.5.3-r8.apk2024-10-25 22:12 4.1K 
[   ]perl-i18n-langinfo-wide-doc-9-r4.apk2024-10-25 22:12 4.1K 
[   ]py3-sphinxcontrib-bitbucket-pyc-1.0-r8.apk2024-10-25 22:12 4.1K 
[   ]perl-future-queue-0.52-r0.apk2024-10-25 22:12 4.1K 
[   ]hikari-unlocker-2.3.3-r6.apk2024-10-25 22:11 4.1K 
[   ]py3-bottle-werkzeug-0.1.1-r9.apk2024-10-25 22:12 4.1K 
[   ]makeclapman-doc-2.4.4-r1.apk2025-01-19 12:55 4.1K 
[   ]perl-types-path-tiny-doc-0.006-r0.apk2024-10-25 22:12 4.1K 
[   ]py3-flask-json-schema-0.0.5-r4.apk2024-10-25 22:12 4.1K 
[   ]pwauth-2.3.11-r2.apk2024-10-25 22:12 4.1K 
[   ]way-displays-doc-1.8.1-r2.apk2024-10-25 22:13 4.1K 
[   ]py3-ovos-translate-server-plugin-pyc-0.0.0-r0.apk2024-10-25 22:12 4.1K 
[   ]agrep-doc-0.8.0-r2.apk2024-10-25 22:10 4.1K 
[   ]cgo-doc-0.6.1-r1.apk2024-10-25 22:11 4.1K 
[   ]perl-class-inner-doc-0.200001-r5.apk2024-10-25 22:12 4.1K 
[   ]logc-czmq-0.1.0-r0.apk2024-10-25 22:11 4.1K 
[   ]dfl-applications-dev-0.2.0-r0.apk2024-10-25 22:11 4.1K 
[   ]dfl-sni-dev-0.2.0-r0.apk2024-10-25 22:11 4.1K 
[   ]mint-y-theme-2.1.1-r0.apk2024-10-25 22:11 4.1K 
[   ]w_scan2-doc-1.0.15-r0.apk2024-10-25 22:13 4.1K 
[   ]perl-datetime-format-rfc3339-doc-1.10.0-r0.apk2025-01-05 16:08 4.1K 
[   ]py3-sphinxcontrib-manpage-0.6-r8.apk2024-10-25 22:12 4.1K 
[   ]perl-text-brew-doc-0.02-r5.apk2024-10-25 22:12 4.1K 
[   ]perl-sort-versions-doc-1.62-r0.apk2024-10-25 22:12 4.1K 
[   ]ovn-openrc-24.03.1-r0.apk2024-10-25 22:12 4.1K 
[   ]repgrep-fish-completion-0.15.0-r0.apk2024-10-25 22:12 4.1K 
[   ]nvim-cmp-buffer-doc-0.0.0_git20220810-r1.apk2024-10-25 22:11 4.2K 
[   ]perl-tickit-widget-floatbox-doc-0.11-r0.apk2024-10-25 22:12 4.2K 
[   ]igrep-doc-1.2.0-r0.apk2024-10-25 22:11 4.2K 
[   ]rauc-doc-1.10.1-r0.apk2024-10-25 22:12 4.2K 
[   ]checkpolicy-doc-3.6-r0.apk2024-10-25 22:11 4.2K 
[   ]perl-ev-hiredis-doc-0.07-r1.apk2024-10-25 22:12 4.2K 
[   ]xvidtune-doc-1.0.4-r0.apk2024-10-25 22:13 4.2K 
[   ]perl-dancer-session-cookie-doc-0.30-r2.apk2024-10-25 22:12 4.2K 
[   ]perl-test-describeme-doc-0.004-r0.apk2024-10-25 22:12 4.2K 
[   ]perl-sentinel-doc-0.07-r1.apk2024-10-25 22:12 4.2K 
[   ]uxn-doc-1.0-r0.apk2024-10-25 22:13 4.2K 
[   ]xisxwayland-2-r1.apk2024-10-25 22:13 4.2K 
[   ]lutgen-doc-0.11.2-r0.apk2024-10-25 22:11 4.2K 
[   ]a2jmidid-doc-9-r3.apk2024-10-25 22:10 4.2K 
[   ]ocaml-stdlib-shims-0.3.0-r2.apk2024-10-25 22:12 4.2K 
[   ]py3-mando-doc-0.7.1-r3.apk2024-10-25 22:12 4.2K 
[   ]libdng-doc-0.2.1-r0.apk2024-12-27 23:10 4.2K 
[   ]py3-fastdiff-pyc-0.3.0-r5.apk2024-10-25 22:12 4.2K 
[   ]perl-file-mmagic-xs-doc-0.09008-r4.apk2024-10-25 22:12 4.2K 
[   ]py3-log-symbols-0.0.14-r5.apk2024-10-25 22:12 4.2K 
[   ]libjodycode-dev-3.1.1-r0.apk2024-10-25 22:11 4.2K 
[   ]certbot-dns-njalla-pyc-2.0.0-r0.apk2024-11-28 00:05 4.2K 
[   ]coreboot-tools-nvramtool-doc-24.08-r1.apk2024-11-27 21:28 4.2K 
[   ]perl-i18n-langinfo-wide-9-r4.apk2024-10-25 22:12 4.2K 
[   ]perl-log-message-simple-0.10-r3.apk2024-10-25 22:12 4.2K 
[   ]colorpicker-0_git20201128-r1.apk2024-10-25 22:11 4.2K 
[   ]k3sup-fish-completion-0.13.6-r1.apk2025-01-19 12:55 4.2K 
[   ]nwg-panel-doc-0.9.61-r0.apk2025-01-14 17:36 4.2K 
[   ]perl-context-preserve-doc-0.03-r4.apk2024-10-25 22:12 4.2K 
[   ]py3-daterangestr-pyc-0.0.3-r8.apk2024-10-25 22:12 4.2K 
[   ]tnef-doc-1.4.18-r0.apk2024-10-25 22:12 4.2K 
[   ]transmission-remote-gtk-doc-1.6.0-r0.apk2024-10-25 22:12 4.2K 
[   ]alarmwakeup-utils-0.2.1-r0.apk2024-10-25 22:10 4.2K 
[   ]ko-fish-completion-0.17.1-r1.apk2025-01-19 12:55 4.2K 
[   ]flauschige-uhr-0.1-r1.apk2024-10-25 22:11 4.2K 
[   ]perl-devel-refcount-doc-0.10-r1.apk2024-10-25 22:12 4.2K 
[   ]gmenuharness-dev-0.1.4-r1.apk2024-10-25 22:11 4.3K 
[   ]py3-sphinxcontrib-cacoo-pyc-2.0.0-r7.apk2024-10-25 22:12 4.3K 
[   ]knative-client-fish-completion-1.16.1-r1.apk2025-01-19 12:55 4.3K 
[   ]py3-bottle-werkzeug-pyc-0.1.1-r9.apk2024-10-25 22:12 4.3K 
[   ]perl-test-api-doc-0.010-r2.apk2024-10-25 22:12 4.3K 
[   ]timoni-fish-completion-0.23.0-r1.apk2025-01-19 12:55 4.3K 
[   ]stern-fish-completion-1.31.0-r1.apk2025-01-19 12:55 4.3K 
[   ]py3-barcodenumber-pyc-0.2.1-r10.apk2024-10-25 22:12 4.3K 
[   ]wgcf-fish-completion-2.2.24-r1.apk2025-01-19 12:55 4.3K 
[   ]glow-fish-completion-2.0.0-r1.apk2025-01-19 12:55 4.3K 
[   ]powerstat-doc-0.04.01-r0.apk2024-10-25 22:12 4.3K 
[   ]regal-fish-completion-0.29.2-r1.apk2025-01-19 12:55 4.3K 
[   ]tenv-fish-completion-3.2.4-r3.apk2025-01-19 12:55 4.3K 
[   ]cilium-cli-fish-completion-0.16.13-r1.apk2025-01-19 12:55 4.3K 
[   ]hubble-cli-fish-completion-0.13.6-r1.apk2025-01-19 12:55 4.3K 
[   ]py3-bottle-pgsql-0.2-r5.apk2024-10-25 22:12 4.3K 
[   ]virtctl-fish-completion-1.4.0-r1.apk2025-01-19 12:55 4.3K 
[   ]helmfile-fish-completion-0.169.2-r1.apk2025-01-19 12:55 4.3K 
[   ]virter-fish-completion-0.28.1-r1.apk2025-01-19 12:55 4.3K 
[   ]perl-future-queue-doc-0.52-r0.apk2024-10-25 22:12 4.3K 
[   ]kubepug-fish-completion-1.7.1-r6.apk2025-01-19 12:55 4.3K 
[   ]qpdfview-doc-0.5-r1.apk2024-10-25 22:12 4.3K 
[   ]libaudec-dev-0.3.4-r3.apk2024-10-25 22:11 4.3K 
[   ]perl-musicbrainz-discid-doc-0.06-r1.apk2024-10-25 22:12 4.3K 
[   ]bomctl-fish-completion-0.1.9-r2.apk2025-01-19 12:55 4.3K 
[   ]pash-2.3.0-r2.apk2024-10-25 22:12 4.3K 
[   ]scrypt-doc-1.3.2-r0.apk2024-10-25 22:12 4.3K 
[   ]emacs-emacsql-sqlite-module-3.1.1_git20240714-r0.apk2024-10-25 22:11 4.3K 
[   ]eatmemory-0.1.6-r2.apk2024-10-25 22:11 4.3K 
[   ]sing-box-fish-completion-1.10.7-r1.apk2025-01-19 12:55 4.3K 
[   ]perl-dbix-datasource-0.02-r5.apk2024-10-25 22:12 4.3K 
[   ]py3-pyqrcode-doc-1.2.1-r0.apk2024-10-25 22:12 4.3K 
[   ]kanister-tools-zsh-completion-0.112.0-r1.apk2025-01-19 12:55 4.3K 
[   ]xsane-doc-0.999-r2.apk2024-10-25 22:13 4.3K 
[   ]kompose-fish-completion-1.31.2-r6.apk2025-01-19 12:55 4.3K 
[   ]py3-sphinxcontrib-httpdomain-lang-1.8.1-r3.apk2024-10-25 22:12 4.3K 
[   ]uxplay-doc-1.71-r0.apk2024-12-14 23:36 4.3K 
[   ]py3-daterangestr-0.0.3-r8.apk2024-10-25 22:12 4.4K 
[   ]qsynth-doc-1.0.2-r0.apk2024-10-25 22:12 4.4K 
[   ]gambit-doc-4.9.5-r0.apk2024-10-25 22:11 4.4K 
[   ]py3-ovos-phal-plugin-ipgeo-pyc-0.0.2-r1.apk2024-10-25 22:12 4.4K 
[   ]py3-infinity-1.5-r6.apk2024-10-25 22:12 4.4K 
[   ]perl-mojo-reactor-ioasync-doc-1.002-r0.apk2024-10-25 22:12 4.4K 
[   ]netsurf-doc-3.11-r0.apk2024-10-25 22:11 4.4K 
[   ]perl-datetime-format-rfc3339-1.10.0-r0.apk2025-01-05 16:08 4.4K 
[   ]perl-number-misc-doc-1.2-r5.apk2024-10-25 22:12 4.4K 
[   ]perl-template-plugin-number-format-doc-1.06-r4.apk2024-10-25 22:12 4.4K 
[   ]perl-test-requires-git-doc-1.008-r0.apk2024-10-25 22:12 4.4K 
[   ]zrepl-bash-completion-0.6.1-r7.apk2025-01-19 12:55 4.4K 
[   ]py3-flake8-print-pyc-5.0.0-r5.apk2024-10-25 22:12 4.4K 
[   ]ruby-simplecov-html-0.13.1-r0.apk2024-10-25 22:12 4.4K 
[   ]nvimpager-doc-0.12.0-r0.apk2024-10-25 22:11 4.4K 
[   ]perl-test2-tools-explain-doc-0.02-r0.apk2024-10-25 22:12 4.4K 
[   ]base64c-0.2.1-r0.apk2024-10-25 22:10 4.4K 
[   ]setroot-doc-2.0.2-r1.apk2024-10-25 22:12 4.4K 
[   ]speedtest-go-doc-1.1.5-r11.apk2025-01-19 12:55 4.4K 
[   ]py3-marshmallow-enum-pyc-1.5.1-r7.apk2024-10-25 22:12 4.5K 
[   ]linuxptp-hwstamp_ctl-4.4-r0.apk2024-11-18 19:00 4.5K 
[   ]snore-0.3.1-r0.apk2024-10-25 22:12 4.5K 
[   ]perl-object-pad-fieldattr-checked-doc-0.12-r0.apk2024-10-25 22:12 4.5K 
[   ]py3-ask-pyc-0.0.8-r8.apk2024-10-25 22:12 4.5K 
[   ]soundconverter-doc-4.0.6-r0.apk2024-11-12 16:33 4.5K 
[   ]yaru-common-23.10.0-r1.apk2024-12-01 03:29 4.5K 
[   ]gufw-doc-24.04-r3.apk2024-11-19 22:42 4.5K 
[   ]godot-doc-4.3-r2.apk2024-10-25 22:11 4.5K 
[   ]wakeonlan-0.42-r0.apk2024-10-25 22:13 4.5K 
[   ]libwhich-1.2.0-r0.apk2024-10-25 22:11 4.5K 
[   ]paperkey-doc-1.6-r2.apk2024-10-25 22:12 4.5K 
[   ]ticker-bash-completion-4.7.1-r1.apk2025-01-19 12:55 4.5K 
[   ]py3-ovos-phal-plugin-ipgeo-0.0.2-r1.apk2024-10-25 22:12 4.5K 
[   ]sblim-wbemcli-doc-1.6.3-r1.apk2024-10-25 22:12 4.5K 
[   ]py3-click-default-group-pyc-1.2.4-r1.apk2024-10-25 22:12 4.5K 
[   ]hub-bash-completion-2.14.2-r27.apk2025-01-19 12:55 4.5K 
[   ]libraqm-dev-0.10.2-r0.apk2024-10-25 22:11 4.5K 
[   ]py3-pytest-home-0.6.0-r0.apk2024-10-25 22:12 4.5K 
[   ]innernet-fish-completion-1.6.1-r0.apk2024-10-25 22:11 4.5K 
[   ]iprange-doc-1.0.4-r1.apk2024-10-25 22:11 4.5K 
[   ]perl-text-brew-0.02-r5.apk2024-10-25 22:12 4.5K 
[   ]slidge-doc-0.1.3-r0.apk2024-10-25 22:12 4.5K 
[   ]ffsend-zsh-completion-0.2.76-r4.apk2024-10-25 22:11 4.6K 
[   ]perl-signature-attribute-checked-doc-0.06-r0.apk2024-10-25 22:12 4.6K 
[   ]perl-pod-cpandoc-0.16-r6.apk2024-10-25 22:12 4.6K 
[   ]alarmwakeup-libs-0.2.1-r0.apk2024-10-25 22:10 4.6K 
[   ]perl-uri-redis-doc-0.02-r0.apk2024-10-25 22:12 4.6K 
[   ]fnf-doc-0.1-r0.apk2024-10-25 22:11 4.6K 
[   ]perl-algorithm-cron-doc-0.10-r4.apk2024-10-25 22:12 4.6K 
[   ]libcork-tools-0.15.0-r7.apk2024-10-25 22:11 4.6K 
[   ]youki-dbg-0.4.1-r0.apk2024-10-25 22:13 4.6K 
[   ]php83-pecl-apfd-1.0.3-r0.apk2024-10-25 22:12 4.6K 
[   ]z-1.12-r0.apk2024-10-25 22:13 4.6K 
[   ]wiki-tui-doc-0.8.2-r1.apk2024-10-25 22:13 4.6K 
[   ]py3-hurry.filesize-0.9-r8.apk2024-10-25 22:12 4.6K 
[   ]py3-cjkwrap-2.2-r4.apk2024-10-25 22:12 4.6K 
[   ]php82-pecl-apfd-1.0.3-r0.apk2024-10-25 22:12 4.6K 
[   ]caps2esc-0.3.2-r0.apk2024-10-25 22:11 4.6K 
[   ]perl-perlio-locale-0.10-r12.apk2024-10-25 22:12 4.6K 
[   ]sentinel-proxy-dev-2.1.0-r0.apk2024-10-25 22:12 4.6K 
[   ]ry-0.5.2-r1.apk2024-10-25 22:12 4.6K 
[   ]py3-visitor-0.1.3-r7.apk2024-10-25 22:12 4.6K 
[   ]apt-mirror-doc-0.5.4-r0.apk2024-10-25 22:10 4.6K 
[   ]perl-tickit-widget-entry-plugin-completion-0.02-r0.apk2024-10-25 22:12 4.6K 
[   ]surf-doc-2.1-r3.apk2024-10-25 22:12 4.6K 
[   ]spread-sheet-widget-doc-0.10-r0.apk2024-10-25 22:12 4.6K 
[   ]py3-bottle-websocket-0.2.9-r8.apk2024-10-25 22:12 4.6K 
[   ]py3-pip-system-certs-pyc-4.0-r1.apk2024-10-25 22:12 4.7K 
[   ]clevis-extra-pins-0_git20230629-r0.apk2024-10-25 22:11 4.7K 
[   ]eboard-doc-1.1.3-r1.apk2024-10-25 22:11 4.7K 
[   ]trippy-zsh-completion-0.12.2-r0.apk2025-01-04 23:30 4.7K 
[   ]libb64-2.0.0.1-r0.apk2024-10-25 22:11 4.7K 
[   ]lua5.2-editorconfig-0.3.0-r0.apk2024-10-25 22:11 4.7K 
[   ]quodlibet-bash-completion-4.6.0-r1.apk2024-10-25 22:12 4.7K 
[   ]perl-template-tiny-doc-1.14-r0.apk2024-12-15 11:09 4.7K 
[   ]perl-tickit-widget-floatbox-0.11-r0.apk2024-10-25 22:12 4.7K 
[   ]py3-bottle-sqlite-0.2.0-r7.apk2024-10-25 22:12 4.7K 
[   ]fbcur-1.0.1-r1.apk2024-10-25 22:11 4.7K 
[   ]perl-url-encode-doc-0.03-r4.apk2024-10-25 22:12 4.7K 
[   ]py3-crc16-pyc-0.1.1-r10.apk2024-10-25 22:12 4.7K 
[   ]octoprint-creality2xfix-0.0.4-r2.apk2024-10-25 22:12 4.7K 
[   ]i2util-doc-4.2.1-r1.apk2024-10-25 22:11 4.7K 
[   ]rattler-build-fish-completion-0.18.0-r0.apk2024-10-25 22:12 4.7K 
[   ]mkdocs-bootswatch-pyc-1.1-r5.apk2024-10-25 22:11 4.7K 
[   ]ansiweather-1.19.0-r1.apk2024-10-25 22:10 4.7K 
[   ]jsmn-1.1.0-r2.apk2024-10-25 22:11 4.7K 
[   ]console_bridge-dev-1.0.2-r0.apk2024-10-25 22:11 4.7K 
[   ]lua5.4-editorconfig-0.3.0-r0.apk2024-10-25 22:11 4.7K 
[   ]perl-mojo-reactor-ioasync-1.002-r0.apk2024-10-25 22:12 4.7K 
[   ]lua5.3-editorconfig-0.3.0-r0.apk2024-10-25 22:11 4.7K 
[   ]ruby-build-doc-20241225.2-r0.apk2025-01-09 07:30 4.7K 
[   ]xmag-doc-1.0.8-r0.apk2024-10-25 22:13 4.7K 
[   ]sc-im-doc-0.8.4-r0.apk2024-10-25 22:12 4.7K 
[   ]libuecc-dev-7-r3.apk2024-10-25 22:11 4.7K 
[   ]py3-ovos-microphone-plugin-alsa-pyc-0.1.0-r0.apk2024-10-25 22:12 4.8K 
[   ]py3-flask-cdn-1.5.3-r8.apk2024-10-25 22:12 4.8K 
[   ]codeberg-cli-fish-completion-0.4.7-r0.apk2025-01-07 07:31 4.8K 
[   ]py3-stringcase-1.2.0-r8.apk2024-10-25 22:12 4.8K 
[   ]hx-doc-1.0.15-r0.apk2024-10-25 22:11 4.8K 
[   ]perl-test-requires-git-1.008-r0.apk2024-10-25 22:12 4.8K 
[   ]sstp-client-doc-1.0.20-r1.apk2024-12-01 16:37 4.8K 
[   ]perl-email-reply-doc-1.204-r5.apk2024-10-25 22:12 4.8K 
[   ]kanister-tools-fish-completion-0.112.0-r1.apk2025-01-19 12:55 4.8K 
[   ]apache-mod-auth-openidc-doc-2.4.16.4-r0.apk2024-10-25 22:10 4.8K 
[   ]rankwidth-static-0.9-r3.apk2024-10-25 22:12 4.8K 
[   ]py3-bottle-sqlalchemy-0.4.3-r8.apk2024-10-25 22:12 4.8K 
[   ]perl-test-utf8-doc-1.03-r0.apk2024-11-16 16:11 4.9K 
[   ]perl-xml-rpc-doc-2.1-r0.apk2024-10-25 22:12 4.9K 
[   ]perl-git-version-compare-doc-1.005-r0.apk2024-10-25 22:12 4.9K 
[   ]ecm-dev-7.0.5-r1.apk2024-10-25 22:11 4.9K 
[   ]pastel-zsh-completion-0.10.0-r0.apk2024-10-25 22:12 4.9K 
[   ]py3-bottle-api-0.0.4-r7.apk2024-10-25 22:12 4.9K 
[   ]horizon-dev-0.9.6-r9.apk2024-10-25 22:11 4.9K 
[   ]pdf2svg-0.2.3-r1.apk2024-10-25 22:12 4.9K 
[   ]perl-pod-cpandoc-doc-0.16-r6.apk2024-10-25 22:12 4.9K 
[   ]perl-template-plugin-number-format-1.06-r4.apk2024-10-25 22:12 4.9K 
[   ]catcodec-doc-1.0.5-r2.apk2024-10-25 22:11 4.9K 
[   ]codeberg-cli-bash-completion-0.4.7-r0.apk2025-01-07 07:31 4.9K 
[   ]py3-scs-pyc-3.2.3-r4.apk2024-10-25 22:12 4.9K 
[   ]perl-dancer-plugin-dbic-0.2104-r5.apk2024-10-25 22:12 4.9K 
[   ]perl-linux-pid-0.04-r13.apk2024-10-25 22:12 4.9K 
[   ]xfd-doc-1.1.4-r0.apk2024-10-25 22:13 4.9K 
[   ]py3-sphinxcontrib-sqltable-pyc-2.0.0-r8.apk2024-10-25 22:12 4.9K 
[   ]envsubst-0.1-r1.apk2024-10-25 22:11 4.9K 
[   ]mangal-bash-completion-4.0.6-r14.apk2025-01-19 12:55 4.9K 
[   ]findtow-0.1-r0.apk2024-10-25 22:11 4.9K 
[   ]perl-multidimensional-0.014-r0.apk2024-10-25 22:12 4.9K 
[   ]perl-test-settings-0.003-r0.apk2024-10-25 22:12 4.9K 
[   ]projectsandcastle-loader-0_git20200307-r1.apk2024-10-25 22:12 4.9K 
[   ]openslide-doc-3.4.1-r3.apk2024-10-25 22:12 4.9K 
[   ]py3-flask-autorouter-pyc-0.2.2-r3.apk2024-10-25 22:12 5.0K 
[   ]rofi-pass-doc-2.0.2-r2.apk2024-10-25 22:12 5.0K 
[   ]k3sup-bash-completion-0.13.6-r1.apk2025-01-19 12:55 5.0K 
[   ]py3-django-taggit-serializer-pyc-0.1.7-r8.apk2024-10-25 22:12 5.0K 
[   ]git-revise-doc-0.7.0-r5.apk2024-10-25 22:11 5.0K 
[   ]perl-uri-tcp-doc-2.0.0-r0.apk2024-10-25 22:12 5.0K 
[   ]py3-print-color-pyc-0.4.6-r0.apk2024-10-25 22:12 5.0K 
[   ]cargo-shuttle-bash-completion-0.51.0-r0.apk2025-01-10 21:35 5.0K 
[   ]perl-xml-parser-style-easytree-0.09-r0.apk2024-10-25 22:12 5.0K 
[   ]ko-bash-completion-0.17.1-r1.apk2025-01-19 12:55 5.0K 
[   ]libopensmtpd-doc-0.7-r0.apk2024-10-25 22:11 5.0K 
[   ]py3-flask-accept-0.0.6-r1.apk2024-10-25 22:12 5.0K 
[   ]py3-sphinxcontrib-slide-1.0.0-r3.apk2024-10-25 22:12 5.0K 
[   ]py3-ask-0.0.8-r8.apk2024-10-25 22:12 5.0K 
[   ]glow-bash-completion-2.0.0-r1.apk2025-01-19 12:55 5.0K 
[   ]tenv-bash-completion-3.2.4-r3.apk2025-01-19 12:55 5.0K 
[   ]regal-bash-completion-0.29.2-r1.apk2025-01-19 12:55 5.0K 
[   ]wgcf-bash-completion-2.2.24-r1.apk2025-01-19 12:55 5.0K 
[   ]cilium-cli-bash-completion-0.16.13-r1.apk2025-01-19 12:55 5.0K 
[   ]py3-ovos-phal-plugin-connectivity-events-pyc-0.1.1-r0.apk2024-11-21 14:32 5.0K 
[   ]virter-bash-completion-0.28.1-r1.apk2025-01-19 12:55 5.0K 
[   ]hubble-cli-bash-completion-0.13.6-r1.apk2025-01-19 12:55 5.0K 
[   ]ckb-next-dev-0.6.0-r1.apk2024-10-25 22:11 5.0K 
[   ]perl-algorithm-permute-doc-0.17-r0.apk2024-10-25 22:12 5.0K 
[   ]perl-protocol-redis-doc-1.0021-r0.apk2024-10-25 22:12 5.0K 
[   ]apache2-mod-realdoc-1-r1.apk2024-10-25 22:10 5.1K 
[   ]lomiri-thumbnailer-dev-3.0.4-r0.apk2025-01-10 11:15 5.1K 
[   ]linux-timemachine-1.3.2-r0.apk2024-10-25 22:11 5.1K 
[   ]lxd-feature-bash-completion-5.20-r7.apk2025-01-19 12:55 5.1K 
[   ]xfce4-hamster-plugin-lang-1.17-r0.apk2024-10-25 22:13 5.1K 
[   ]cargo-run-bin-doc-1.7.2-r0.apk2024-10-25 22:11 5.1K 
[   ]py3-pygpgme-pyc-0.3.1-r9.apk2024-10-25 22:12 5.1K 
[   ]virtctl-bash-completion-1.4.0-r1.apk2025-01-19 12:55 5.1K 
[   ]kubepug-bash-completion-1.7.1-r6.apk2025-01-19 12:55 5.1K 
[   ]helmfile-bash-completion-0.169.2-r1.apk2025-01-19 12:55 5.1K 
[   ]bomctl-bash-completion-0.1.9-r2.apk2025-01-19 12:55 5.1K 
[   ]php81-ctype-8.1.31-r0.apk2024-11-20 02:22 5.1K 
[   ]py3-flask-autorouter-0.2.2-r3.apk2024-10-25 22:12 5.1K 
[   ]kine-doc-0.10.1-r9.apk2025-01-19 12:55 5.1K 
[   ]sing-box-bash-completion-1.10.7-r1.apk2025-01-19 12:55 5.1K 
[   ]perl-test-api-0.010-r2.apk2024-10-25 22:12 5.1K 
[   ]wmctrl-doc-1.07-r1.apk2024-10-25 22:13 5.1K 
[   ]perl-algorithm-c3-doc-0.11-r1.apk2024-10-25 22:12 5.1K 
[   ]minidyndns-doc-1.3.0-r3.apk2024-10-25 22:11 5.1K 
[   ]perl-anyevent-dns-etchosts-0.0105-r0.apk2024-10-25 22:12 5.1K 
[   ]git-bug-bash-completion-0.8.0-r15.apk2025-01-19 12:55 5.1K 
[   ]py3-radon-doc-6.0.1-r2.apk2024-10-25 22:12 5.1K 
[   ]py3-click-default-group-1.2.4-r1.apk2024-10-25 22:12 5.1K 
[   ]perl-url-encode-0.03-r4.apk2024-10-25 22:12 5.2K 
[   ]perl-path-iter-doc-0.2-r3.apk2024-10-25 22:12 5.2K 
[   ]paperde-dev-0.2.1-r2.apk2024-10-25 22:12 5.2K 
[   ]perl-color-ansi-util-doc-0.165-r0.apk2024-10-25 22:12 5.2K 
[   ]perl-ffi-platypus-type-enum-doc-0.06-r0.apk2024-10-25 22:12 5.2K 
[   ]perl-anyevent-future-0.05-r0.apk2024-10-25 22:12 5.2K 
[   ]perl-net-irr-doc-0.10-r0.apk2024-10-25 22:12 5.2K 
[   ]perl-template-tiny-1.14-r0.apk2024-12-15 11:09 5.2K 
[   ]py3-bottle-rest-pyc-0.6.0-r1.apk2024-10-25 22:12 5.2K 
[   ]perl-digest-bcrypt-doc-1.212-r1.apk2024-10-25 22:12 5.2K 
[   ]perl-number-misc-1.2-r5.apk2024-10-25 22:12 5.2K 
[   ]minimodem-doc-0.24-r1.apk2024-10-25 22:11 5.2K 
[   ]tre-dev-0.8.0-r2.apk2024-10-25 22:12 5.2K 
[   ]py3-flake8-blind-except-0.2.1-r4.apk2024-10-25 22:12 5.2K 
[   ]ruby-base64-0.2.0-r0.apk2024-10-25 22:12 5.2K 
[   ]perl-ffi-platypus-type-enum-0.06-r0.apk2024-10-25 22:12 5.2K 
[   ]rankwidth-libs-0.9-r3.apk2024-10-25 22:12 5.2K 
[   ]py3-bottle-api-pyc-0.0.4-r7.apk2024-10-25 22:12 5.2K 
[   ]perl-string-compare-constanttime-doc-0.321-r6.apk2024-10-25 22:12 5.2K 
[   ]perl-path-iter-0.2-r3.apk2024-10-25 22:12 5.2K 
[   ]perl-text-table-sprintf-doc-0.008-r0.apk2024-10-25 22:12 5.2K 
[   ]sydbox-vim-3.21.3-r0.apk2024-10-25 22:12 5.2K 
[   ]gamemode-dev-0_git20240327-r0.apk2024-10-25 22:11 5.2K 
[   ]zita-njbridge-doc-0.4.8-r1.apk2024-10-25 22:13 5.2K 
[   ]py3-bottle-sqlite-pyc-0.2.0-r7.apk2024-10-25 22:12 5.2K 
[   ]py3-cjkwrap-pyc-2.2-r4.apk2024-10-25 22:12 5.2K 
[   ]dnsenum-doc-1.3.2-r0.apk2024-10-25 22:11 5.2K 
[   ]mobpass-pyc-0.2-r6.apk2024-10-25 22:11 5.2K 
[   ]json2tsv-doc-1.2-r0.apk2024-10-25 22:11 5.2K 
[   ]py3-iterable-io-pyc-1.0.0-r0.apk2024-10-25 22:12 5.3K 
[   ]edward-doc-1.1.0-r0.apk2024-10-25 22:11 5.3K 
[   ]perl-guard-doc-1.023-r9.apk2024-10-25 22:12 5.3K 
[   ]logc-config-0.5.0-r0.apk2024-10-25 22:11 5.3K 
[   ]mbpfan-doc-2.4.0-r1.apk2024-10-25 22:11 5.3K 
[   ]perl-test-memorygrowth-doc-0.05-r0.apk2024-10-25 22:12 5.3K 
[   ]py3-marshmallow-enum-1.5.1-r7.apk2024-10-25 22:12 5.3K 
[   ]xmp-doc-4.2.0-r0.apk2024-10-25 22:13 5.3K 
[   ]lockrun-1.1.3-r1.apk2024-10-25 22:11 5.3K 
[   ]perl-net-async-redis-xs-doc-1.001-r1.apk2024-10-25 22:12 5.3K 
[   ]lua-resty-redis-0.29-r0.apk2024-10-25 22:11 5.3K 
[   ]py3-flake8-snippets-0.2-r8.apk2024-10-25 22:12 5.3K 
[   ]perl-dbicx-sugar-doc-0.0200-r5.apk2024-10-25 22:12 5.3K 
[   ]perl-class-c3-componentised-doc-1.001002-r2.apk2024-10-25 22:12 5.3K 
[   ]py3-flask-basicauth-0.2.0-r9.apk2024-10-25 22:12 5.3K 
[   ]par2cmdline-turbo-doc-1.2.0-r0.apk2024-12-10 17:43 5.3K 
[   ]perl-sys-syscall-0.25-r10.apk2024-10-25 22:12 5.3K 
[   ]perl-dancer-plugin-dbic-doc-0.2104-r5.apk2024-10-25 22:12 5.4K 
[   ]py3-pickle-secure-pyc-0.99.9-r1.apk2024-10-25 22:12 5.4K 
[   ]perl-text-table-sprintf-0.008-r0.apk2024-10-25 22:12 5.4K 
[   ]php82-snappy-0.2.1-r1.apk2024-10-25 22:12 5.4K 
[   ]spvm-mime-base64-doc-1.002-r0.apk2025-01-15 19:23 5.4K 
[   ]py3-flake8-isort-pyc-6.1.1-r1.apk2024-10-25 22:12 5.4K 
[   ]py3-dbus-fast-doc-2.24.4-r0.apk2024-11-18 19:00 5.4K 
[   ]lomiri-action-api-dev-1.1.3-r1.apk2024-10-25 22:11 5.4K 
[   ]ocaml-mirage-random-dev-3.0.0-r3.apk2024-10-25 22:12 5.4K 
[   ]startup-fish-completion-2.0.3-r5.apk2024-10-25 22:12 5.4K 
[   ]ghq-doc-1.7.1-r1.apk2025-01-19 12:55 5.4K 
[   ]libmpfi-dev-1.5.4-r2.apk2024-10-25 22:11 5.4K 
[   ]rofi-json-menu-0.2.0-r1.apk2024-10-25 22:12 5.4K 
[   ]perl-git-version-compare-1.005-r0.apk2024-10-25 22:12 5.4K 
[   ]serialdv-dev-1.1.4-r0.apk2024-10-25 22:12 5.4K 
[   ]neocmakelsp-doc-0.8.14-r0.apk2025-01-12 14:22 5.4K 
[   ]perl-xml-parser-style-easytree-doc-0.09-r0.apk2024-10-25 22:12 5.4K 
[   ]py3-setuptools-lint-0.6.0-r9.apk2024-10-25 22:12 5.4K 
[   ]perl-anyevent-future-doc-0.05-r0.apk2024-10-25 22:12 5.4K 
[   ]perl-test-class-tiny-doc-0.03-r0.apk2024-10-25 22:12 5.4K 
[   ]rattler-build-zsh-completion-0.18.0-r0.apk2024-10-25 22:12 5.4K 
[   ]perl-conf-libconfig-doc-1.0.3-r0.apk2024-10-25 22:12 5.4K 
[   ]py3-ovos-tts-server-plugin-pyc-0.0.2_alpha13-r1.apk2024-10-25 22:12 5.4K 
[   ]boxed-cpp-doc-1.4.3-r0.apk2024-10-25 22:11 5.4K 
[   ]base64c-dev-0.2.1-r0.apk2024-10-25 22:10 5.5K 
[   ]innernet-zsh-completion-1.6.1-r0.apk2024-10-25 22:11 5.5K 
[   ]vbindiff-doc-3.0_beta5-r1.apk2024-10-25 22:13 5.5K 
[   ]py3-pycolorterm-0.2.1-r6.apk2024-10-25 22:12 5.5K 
[   ]perl-scalar-readonly-0.03-r1.apk2024-10-25 22:12 5.5K 
[   ]yamlfmt-doc-0.15.0-r1.apk2025-01-19 12:55 5.5K 
[   ]perl-dancer-session-cookie-0.30-r2.apk2024-10-25 22:12 5.5K 
[   ]perl-net-irr-0.10-r0.apk2024-10-25 22:12 5.5K 
[   ]tick-doc-1.2.2-r0.apk2025-01-14 02:26 5.5K 
[   ]perl-sort-naturally-doc-1.03-r4.apk2024-10-25 22:12 5.5K 
[   ]kubeseal-doc-0.27.3-r1.apk2025-01-19 12:55 5.5K 
[   ]upterm-bash-completion-0.14.3-r1.apk2025-01-19 12:55 5.5K 
[   ]perl-data-validate-domain-doc-0.15-r0.apk2024-10-25 22:12 5.5K 
[   ]tiny-doc-0.13.0-r0.apk2025-01-01 19:11 5.5K 
[   ]luksmeta-doc-9-r0.apk2024-10-25 22:11 5.5K 
[   ]autoconf-policy-0.1-r0.apk2024-10-25 22:10 5.5K 
[   ]kanister-tools-bash-completion-0.112.0-r1.apk2025-01-19 12:55 5.5K 
[   ]py3-sphinx-theme-guzzle-pyc-0.7.11-r7.apk2024-10-25 22:12 5.5K 
[   ]perl-list-keywords-doc-0.11-r0.apk2024-10-25 22:12 5.5K 
[   ]py3-requests-wsgi-adapter-0.4.1-r1.apk2024-10-25 22:12 5.5K 
[   ]wol-doc-0.7.1-r3.apk2024-10-25 22:13 5.5K 
[   ]perl-class-c3-componentised-1.001002-r2.apk2024-10-25 22:12 5.5K 
[   ]qperf-doc-0.4.11-r1.apk2024-10-25 22:12 5.5K 
[   ]font-fantasque-sans-doc-1.8.0-r0.apk2024-10-25 22:11 5.5K 
[   ]kompose-bash-completion-1.31.2-r6.apk2025-01-19 12:55 5.5K 
[   ]py3-sphinxcontrib-slide-pyc-1.0.0-r3.apk2024-10-25 22:12 5.5K 
[   ]pfetch-doc-1.7.0-r0.apk2025-01-03 19:51 5.6K 
[   ]prosody-mod-mam_muc-0.11_hg20201208-r0.apk2024-10-25 22:12 5.6K 
[   ]py3-rst-0.1-r9.apk2024-10-25 22:12 5.6K 
[   ]tayga-doc-0.9.2-r0.apk2024-10-25 22:12 5.6K 
[   ]py3-flask-loopback-1.4.7-r7.apk2024-10-25 22:12 5.6K 
[   ]perl-test-utf8-1.03-r0.apk2024-11-16 16:11 5.6K 
[   ]perl-digest-bcrypt-1.212-r1.apk2024-10-25 22:12 5.6K 
[   ]hexedit-doc-1.6_git20230905-r0.apk2024-10-25 22:11 5.6K 
[   ]fpp-doc-0.9.5-r0.apk2024-10-25 22:11 5.6K 
[   ]walk-sor-0_git20190920-r1.apk2024-10-25 22:13 5.6K 
[   ]py3-synapse-auto-accept-invite-pyc-1.2.0-r0.apk2024-10-25 22:12 5.6K 
[   ]neard-doc-0.19-r0.apk2024-10-25 22:11 5.6K 
[   ]sane-airscan-doc-0.99.31-r0.apk2025-01-12 14:30 5.6K 
[   ]py3-flask-markdown-0.3-r8.apk2024-10-25 22:12 5.6K 
[   ]perl-protocol-redis-1.0021-r0.apk2024-10-25 22:12 5.6K 
[   ]bgs-0.8-r1.apk2024-10-25 22:11 5.6K 
[   ]py3-bottle-sqlalchemy-pyc-0.4.3-r8.apk2024-10-25 22:12 5.6K 
[   ]rankwidth-0.9-r3.apk2024-10-25 22:12 5.6K 
[   ]herbe-1.0.0-r0.apk2024-10-25 22:11 5.6K 
[   ]ocaml-tophide-1.0.4-r2.apk2024-10-25 22:12 5.7K 
[   ]py3-sphinxcontrib-textstyle-pyc-0.2.3-r8.apk2024-10-25 22:12 5.7K 
[   ]perl-variable-disposition-doc-0.005-r0.apk2024-10-25 22:12 5.7K 
[   ]cargo-expand-doc-1.0.100-r0.apk2025-01-12 22:00 5.7K 
[   ]perl-lwp-useragent-cached-doc-0.08-r1.apk2024-10-25 22:12 5.7K 
[   ]perl-algorithm-c3-0.11-r1.apk2024-10-25 22:12 5.7K 
[   ]logc-libs-dev-0.1.0-r0.apk2024-10-25 22:11 5.7K 
[   ]perl-freezethaw-doc-0.5001-r2.apk2024-10-25 22:12 5.7K 
[   ]harminv-doc-1.4.2-r1.apk2024-10-25 22:11 5.7K 
[   ]perl-aliased-0.34-r4.apk2024-10-25 22:12 5.7K 
[   ]perl-aliased-doc-0.34-r4.apk2024-10-25 22:12 5.7K 
[   ]py3-sphinxcontrib-bitbucket-1.0-r8.apk2024-10-25 22:12 5.7K 
[   ]git2json-pyc-0.2.3-r8.apk2024-10-25 22:11 5.7K 
[   ]perl-object-array-0.060-r0.apk2024-10-25 22:12 5.7K 
[   ]py3-flake8-polyfill-pyc-1.0.2-r5.apk2024-10-25 22:12 5.7K 
[   ]perl-lwp-online-doc-1.08-r0.apk2024-10-25 22:12 5.7K 
[   ]turnstile-doc-0.1.10-r3.apk2024-10-25 22:13 5.7K 
[   ]py3-uacme-desec-1.2.1-r0.apk2024-10-25 22:12 5.7K 
[   ]materia-chromium-20210322-r1.apk2024-10-25 22:11 5.7K 
[   ]memdump-1.01-r1.apk2024-10-25 22:11 5.7K 
[   ]libvoikko-doc-4.3.2-r1.apk2024-10-25 22:11 5.7K 
[   ]materia-compact-chromium-20210322-r1.apk2024-10-25 22:11 5.7K 
[   ]materia-dark-chromium-20210322-r1.apk2024-10-25 22:11 5.7K 
[   ]py3-sphinxcontrib-cacoo-2.0.0-r7.apk2024-10-25 22:12 5.7K 
[   ]perl-xml-rpc-2.1-r0.apk2024-10-25 22:12 5.7K 
[   ]termbox-dev-1.1.2-r1.apk2024-10-25 22:12 5.7K 
[   ]materia-dark-compact-chromium-20210322-r1.apk2024-10-25 22:11 5.7K 
[   ]py3-notifymail-pyc-1.1-r8.apk2024-10-25 22:12 5.7K 
[   ]mrsh-0_git20210518-r1.apk2024-10-25 22:11 5.7K 
[   ]utop-doc-2.9.1-r4.apk2024-10-25 22:13 5.7K 
[   ]perl-io-sessiondata-1.03-r3.apk2024-10-25 22:12 5.8K 
[   ]dfu-programmer-doc-1.1.0-r0.apk2024-10-25 22:11 5.8K 
[   ]stern-bash-completion-1.31.0-r1.apk2025-01-19 12:55 5.8K 
[   ]git-cola-doc-4.10.1-r0.apk2024-12-15 20:26 5.8K 
[   ]py3-simplematch-pyc-1.4-r1.apk2024-10-25 22:12 5.8K 
[   ]spvm-thread-doc-0.002-r0.apk2024-11-25 09:05 5.8K 
[   ]gsimplecal-doc-2.5.1-r0.apk2024-10-25 22:11 5.8K 
[   ]py3-flask-bcrypt-pyc-1.0.1-r5.apk2024-10-25 22:12 5.8K 
[   ]py3-slixmpp-doc-1.8.5-r2.apk2024-10-25 22:12 5.8K 
[   ]perl-syntax-operator-in-doc-0.10-r0.apk2024-10-25 22:12 5.8K 
[   ]dbus-broker-doc-36-r0.apk2024-10-25 22:11 5.8K 
[   ]startup-dev-2.0.3-r5.apk2024-10-25 22:12 5.8K 
[   ]py3-jaraco.logging-pyc-3.3.0-r0.apk2024-10-25 22:12 5.8K 
[   ]perl-data-validate-domain-0.15-r0.apk2024-10-25 22:12 5.8K 
[   ]emacs-emacsql-psql-3.1.1_git20240714-r0.apk2024-10-25 22:11 5.9K 
[   ]perl-data-validate-ip-doc-0.31-r1.apk2024-10-25 22:12 5.9K 
[   ]py3-grequests-pyc-0.7.0-r2.apk2024-10-25 22:12 5.9K 
[   ]libhwpwm-dev-0.4.4-r0.apk2024-10-25 22:11 5.9K 
[   ]perl-test-class-tiny-0.03-r0.apk2024-10-25 22:12 5.9K 
[   ]prosody-mod-mam-0.11_hg20201208-r0.apk2024-10-25 22:12 5.9K 
[   ]restart-services-doc-0.17.0-r0.apk2024-10-25 22:12 5.9K 
[   ]coreboot-tools-ectool-24.08-r1.apk2024-11-27 21:28 5.9K 
[   ]spin-doc-6.5.2-r1.apk2024-10-25 22:12 5.9K 
[   ]perl-dbicx-sugar-0.0200-r5.apk2024-10-25 22:12 5.9K 
[   ]py3-pytest-expect-1.1.0-r10.apk2024-10-25 22:12 5.9K 
[   ]river-shifttags-0.2.1-r0.apk2024-10-25 22:12 5.9K 
[   ]py3-jaraco.versioning-1.1.0-r0.apk2024-10-25 22:12 5.9K 
[   ]perl-ppi-xs-0.910-r1.apk2024-10-25 22:12 5.9K 
[   ]spvm-errno-doc-0.092-r1.apk2024-10-25 22:12 5.9K 
[   ]py3-ticket-auth-0.1.4-r9.apk2024-10-25 22:12 6.0K 
[   ]ruby-docile-1.4.1-r0.apk2024-10-25 22:12 6.0K 
[   ]tree-sitter-query-doc-0.4.0-r0.apk2025-01-10 19:47 6.0K 
[   ]py3-flake8-debugger-pyc-4.1.2-r4.apk2024-10-25 22:12 6.0K 
[   ]php81-sysvsem-8.1.31-r0.apk2024-11-20 02:22 6.0K 
[   ]py3-pytap2-pyc-2.3.0-r0.apk2024-10-25 22:12 6.0K 
[   ]perl-term-size-0.211-r4.apk2024-10-25 22:12 6.0K 
[   ]flawz-doc-0.3.0-r0.apk2024-11-03 22:06 6.0K 
[   ]f_scripts-f_phone-0.6-r1.apk2024-10-25 22:11 6.0K 
[   ]spacectl-fish-completion-1.0.0-r2.apk2025-01-19 12:55 6.0K 
[   ]py3-setuptools-lint-pyc-0.6.0-r9.apk2024-10-25 22:12 6.0K 
[   ]hdf4-doc-4.2.15-r2.apk2024-10-25 22:11 6.0K 
[   ]pcsc-tools-doc-1.7.2-r0.apk2024-10-25 22:12 6.0K 
[   ]py3-jaraco.logging-3.3.0-r0.apk2024-10-25 22:12 6.0K 
[   ]mdcat-doc-2.7.1-r0.apk2024-12-14 19:04 6.0K 
[   ]py3-iterable-io-1.0.0-r0.apk2024-10-25 22:12 6.0K 
[   ]perl-net-patricia-doc-1.22-r12.apk2024-10-25 22:12 6.0K 
[   ]perl-test-settings-doc-0.003-r0.apk2024-10-25 22:12 6.0K 
[   ]mint-x-theme-metacity-2.1.1-r0.apk2024-10-25 22:11 6.1K 
[   ]py3-class-doc-1.25-r1.apk2024-10-25 22:12 6.1K 
[   ]emacs-emacsql-mysql-3.1.1_git20240714-r0.apk2024-10-25 22:11 6.1K 
[   ]dislocker-doc-0.7.3-r5.apk2024-10-25 22:11 6.1K 
[   ]ruby-notify-0.5.2-r0.apk2024-10-25 22:12 6.1K 
[   ]perl-test-distribution-doc-2.00-r1.apk2024-10-25 22:12 6.1K 
[   ]create-tauri-app-doc-4.5.9-r0.apk2024-12-25 23:08 6.1K 
[   ]libhwpwm-0.4.4-r0.apk2024-10-25 22:11 6.1K 
[   ]py3-rst.linker-2.6.0-r0.apk2024-10-25 22:12 6.1K 
[   ]py3-spinners-0.0.24-r5.apk2024-10-25 22:12 6.1K 
[   ]py3-jaraco.versioning-pyc-1.1.0-r0.apk2024-10-25 22:12 6.1K 
[   ]py3-rst-pyc-0.1-r9.apk2024-10-25 22:12 6.1K 
[   ]py3-sphinxcontrib-textstyle-0.2.3-r8.apk2024-10-25 22:12 6.1K 
[   ]perl-algorithm-cron-0.10-r4.apk2024-10-25 22:12 6.1K 
[   ]py3-bottle-rest-0.6.0-r1.apk2024-10-25 22:12 6.1K 
[   ]curlftpfs-doc-0.9.2-r3.apk2024-10-25 22:11 6.1K 
[   ]perl-bareword-filehandles-0.007-r0.apk2024-10-25 22:12 6.1K 
[   ]typos-doc-1.23.2-r0.apk2024-10-25 22:13 6.1K 
[   ]remind-caldav-pyc-0.8.0-r4.apk2024-10-25 22:12 6.1K 
[   ]shfm-doc-0.4.2-r1.apk2024-10-25 22:12 6.1K 
[   ]perl-email-reply-1.204-r5.apk2024-10-25 22:12 6.1K 
[   ]perl-lwp-online-1.08-r0.apk2024-10-25 22:12 6.2K 
[   ]py3-flask-qrcode-pyc-3.2.0-r0.apk2024-12-12 07:32 6.2K 
[   ]game-devices-udev-0.23-r0.apk2024-11-12 11:57 6.2K 
[   ]perl-cgi-expand-doc-2.05-r4.apk2024-10-25 22:12 6.2K 
[   ]libb64-dev-2.0.0.1-r0.apk2024-10-25 22:11 6.2K 
[   ]lua5.3-apk3-3.0.0_pre3_git20241029-r0.apk2024-10-30 06:03 6.2K 
[   ]swhkd-doc-1.2.1-r0.apk2024-10-25 22:12 6.2K 
[   ]leptosfmt-doc-0.1.18-r0.apk2024-10-25 22:11 6.2K 
[   ]perl-test-toolbox-doc-0.4-r5.apk2024-10-25 22:12 6.2K 
[   ]grip-doc-4.2.4-r0.apk2024-10-25 22:11 6.2K 
[   ]neofetch-doc-7.1.0-r2.apk2024-11-06 22:46 6.2K 
[   ]perl-string-random-doc-0.32-r2.apk2024-10-25 22:12 6.2K 
[   ]kannel-doc-1.5.0-r11.apk2024-10-25 22:11 6.2K 
[   ]perl-check-unitcheck-0.13-r1.apk2024-10-25 22:12 6.2K 
[   ]libdng-utils-0.2.1-r0.apk2024-12-27 23:10 6.2K 
[   ]perl-html-selector-xpath-0.28-r0.apk2024-10-25 22:12 6.2K 
[   ]perl-throwable-1.001-r1.apk2024-10-25 22:12 6.2K 
[   ]php81-gettext-8.1.31-r0.apk2024-11-20 02:22 6.2K 
[   ]boxed-cpp-dev-1.4.3-r0.apk2024-10-25 22:11 6.2K 
[   ]py3-flake8-debugger-4.1.2-r4.apk2024-10-25 22:12 6.2K 
[   ]git-graph-doc-0.6.0-r0.apk2024-11-26 00:38 6.2K 
[   ]schismtracker-doc-20231029-r0.apk2024-10-25 22:12 6.2K 
[   ]deadbeef-soxr-20180801-r0.apk2024-10-25 22:11 6.2K 
[   ]py3-spinners-pyc-0.0.24-r5.apk2024-10-25 22:12 6.2K 
[   ]py3-flask-dbconfig-pyc-0.3.12-r8.apk2024-10-25 22:12 6.3K 
[   ]py3-dweepy-pyc-0.3.0-r7.apk2024-10-25 22:12 6.3K 
[   ]lua5.2-psl-0.3-r0.apk2024-10-25 22:11 6.3K 
[   ]kgraphviewer-dev-2.5.0-r0.apk2024-10-25 22:11 6.3K 
[   ]php81-shmop-8.1.31-r0.apk2024-11-20 02:22 6.3K 
[   ]ocp-index-emacs-1.3.6-r0.apk2024-10-25 22:12 6.3K 
[   ]py3-pbkdf2-1.3-r7.apk2024-10-25 22:12 6.3K 
[   ]openfortivpn-doc-1.22.1-r0.apk2024-12-11 22:31 6.3K 
[   ]lua5.3-psl-0.3-r0.apk2024-10-25 22:11 6.3K 
[   ]perl-devel-refcount-0.10-r1.apk2024-10-25 22:12 6.3K 
[   ]perl-http-xsheaders-doc-0.400005-r1.apk2024-10-25 22:12 6.3K 
[   ]dex-doc-0.10.1-r0.apk2024-12-25 17:21 6.3K 
[   ]serie-doc-0.4.1-r0.apk2025-01-14 17:36 6.3K 
[   ]upterm-doc-0.14.3-r1.apk2025-01-19 12:55 6.3K 
[   ]bgpq4-doc-1.15-r0.apk2024-10-25 22:11 6.3K 
[   ]py3-click-threading-0.5.0-r5.apk2024-10-25 22:12 6.3K 
[   ]libantic-dev-0.2.5-r0.apk2024-10-25 22:11 6.3K 
[   ]perl-indirect-doc-0.39-r1.apk2024-10-25 22:12 6.4K 
[   ]perl-crypt-saltedhash-doc-0.09-r5.apk2024-10-25 22:12 6.4K 
[   ]py3-lsp-black-pyc-2.0.0-r1.apk2024-10-25 22:12 6.4K 
[   ]py3-ticket-auth-pyc-0.1.4-r9.apk2024-10-25 22:12 6.4K 
[   ]lua5.1-psl-0.3-r0.apk2024-10-25 22:11 6.4K 
[   ]pantalaimon-doc-0.10.5-r4.apk2024-10-25 22:12 6.4K 
[   ]perl-lwp-useragent-cached-0.08-r1.apk2024-10-25 22:12 6.4K 
[   ]libbamf-dev-0.5.6-r1.apk2024-10-25 22:11 6.4K 
[   ]perl-test-memorygrowth-0.05-r0.apk2024-10-25 22:12 6.4K 
[   ]rss-email-doc-0.5.0-r0.apk2024-10-25 22:12 6.4K 
[   ]mlxl-0.1-r0.apk2024-10-25 22:11 6.4K 
[   ]vtable-dumper-1.2-r0.apk2025-01-19 12:55 6.4K 
[   ]pipectl-0.4.1-r1.apk2024-10-25 22:12 6.4K 
[   ]clinfo-doc-3.0.23.01.25-r0.apk2024-10-25 22:11 6.5K 
[   ]plfit-dev-1.0.1-r0.apk2025-01-04 04:47 6.5K 
[   ]ocaml-mmap-dev-1.2.0-r3.apk2024-10-25 22:12 6.5K 
[   ]repgrep-doc-0.15.0-r0.apk2024-10-25 22:12 6.5K 
[   ]lsix-1.8.2-r0.apk2024-10-25 22:11 6.5K 
[   ]lol-html-dev-1.1.1-r1.apk2024-10-25 22:11 6.5K 
[   ]ovpncc-doc-0.1_rc1-r0.apk2024-10-25 22:12 6.5K 
[   ]perl-syntax-operator-equ-doc-0.10-r0.apk2024-10-25 22:12 6.5K 
[   ]imediff-doc-2.6-r1.apk2024-10-25 22:11 6.5K 
[   ]php81-pecl-uuid-1.2.1-r0.apk2024-10-25 22:12 6.5K 
[   ]perl-tickit-widget-scrollbox-doc-0.12-r0.apk2024-10-25 22:12 6.5K 
[   ]prometheus-ipmi-exporter-doc-1.8.0-r1.apk2025-01-19 12:55 6.6K 
[   ]watchbind-doc-0.2.1-r1.apk2024-10-25 22:13 6.6K 
[   ]py3-pymsteams-pyc-0.2.3-r1.apk2024-10-25 22:12 6.6K 
[   ]cutechess-cli-doc-1.3.1-r0.apk2024-10-25 22:11 6.6K 
[   ]perl-minion-backend-redis-doc-0.003-r0.apk2024-10-25 22:12 6.6K 
[   ]dcnnt-doc-0.10.0-r1.apk2024-10-25 22:11 6.6K 
[   ]py3-requests-wsgi-adapter-pyc-0.4.1-r1.apk2024-10-25 22:12 6.6K 
[   ]py3-sphinxcontrib-git-pyc-11.0.0-r7.apk2024-10-25 22:12 6.6K 
[   ]kubeone-bash-completion-1.9.1-r1.apk2025-01-19 12:55 6.6K 
[   ]py3-tailer-pyc-0.4.1-r7.apk2024-10-25 22:12 6.6K 
[   ]emacs-persist-0.6_git20240114-r0.apk2024-10-25 22:11 6.6K 
[   ]ovos-messagebus-pyc-0.0.8-r0.apk2024-11-25 13:41 6.6K 
[   ]apk-snap-3.1.1-r0.apk2024-10-25 22:10 6.6K 
[   ]py3-rst.linker-pyc-2.6.0-r0.apk2024-10-25 22:12 6.6K 
[   ]perl-text-table-any-doc-0.117-r0.apk2024-10-25 22:12 6.7K 
[   ]perl-devel-confess-doc-0.009004-r0.apk2024-10-25 22:12 6.7K 
[   ]debconf-utils-1.5.82-r0.apk2024-10-25 22:11 6.7K 
[   ]php81-pecl-uploadprogress-2.0.2-r1.apk2024-10-25 22:12 6.7K 
[   ]codeberg-cli-zsh-completion-0.4.7-r0.apk2025-01-07 07:31 6.7K 
[   ]extremetuxracer-doc-0.8.3-r0.apk2024-10-25 22:11 6.7K 
[   ]perl-storable-improved-0.1.3-r0.apk2024-10-25 22:12 6.7K 
[   ]py3-jaraco.stream-3.0.4-r0.apk2024-12-14 23:56 6.7K 
[   ]amber-mpris-dev-1.2.9-r0.apk2024-12-22 18:04 6.7K 
[   ]py3-flake8-print-5.0.0-r5.apk2024-10-25 22:12 6.7K 
[   ]rattler-build-doc-0.18.0-r0.apk2024-10-25 22:12 6.7K 
[   ]wlopm-0.1.0-r0.apk2024-10-25 22:13 6.7K 
[   ]kompose-zsh-completion-1.31.2-r6.apk2025-01-19 12:55 6.7K 
[   ]perl-test-files-0.26-r0.apk2024-10-25 22:12 6.7K 
[   ]perl-test-file-doc-1.994-r0.apk2025-01-12 05:09 6.7K 
[   ]zarchive-dev-0.1.2-r2.apk2024-10-25 22:13 6.8K 
[   ]n30f-2.0-r3.apk2024-10-25 22:11 6.8K 
[   ]py3-ovos-stt-plugin-server-pyc-0.0.4_alpha4-r1.apk2024-10-25 22:12 6.8K 
[   ]cpiped-0.1.0-r0.apk2024-10-25 22:11 6.8K 
[   ]py3-uacme-desec-pyc-1.2.1-r0.apk2024-10-25 22:12 6.8K 
[   ]cyrus-sasl-xoauth2-0.2-r1.apk2024-10-25 22:11 6.8K 
[   ]perl-minion-backend-sqlite-doc-5.0.7-r0.apk2024-10-25 22:12 6.8K 
[   ]isoinfo-0_git20131217-r1.apk2024-10-25 22:11 6.8K 
[   ]pwauth-doc-2.3.11-r2.apk2024-10-25 22:12 6.8K 
[   ]finger-0.5-r0.apk2024-10-25 22:11 6.8K 
[   ]perl-time-timegm-0.01-r9.apk2024-10-25 22:12 6.8K 
[   ]perl-b-hooks-op-check-0.22-r0.apk2024-10-25 22:12 6.8K 
[   ]xload-1.1.4-r0.apk2024-10-25 22:13 6.8K 
[   ]perl-cairo-gobject-1.005-r4.apk2024-10-25 22:12 6.8K 
[   ]perl-archive-extract-doc-0.88-r1.apk2024-10-25 22:12 6.8K 
[   ]qoi-dev-0.0.0_git20230312-r0.apk2024-10-25 22:12 6.8K 
[   ]termcolor-dev-2.1.0-r0.apk2024-10-25 22:12 6.8K 
[   ]py3-grequests-0.7.0-r2.apk2024-10-25 22:12 6.8K 
[   ]spvm-math-doc-1.005-r0.apk2025-01-15 19:23 6.9K 
[   ]qtmir-dev-0.7.2-r2.apk2024-10-25 22:12 6.9K 
[   ]prosody-mod-muc_cloud_notify-0.11_hg20201208-r0.apk2024-10-25 22:12 6.9K 
[   ]py3-playsound-1.3.0-r1.apk2024-10-25 22:12 6.9K 
[   ]perl-x-tiny-0.22-r0.apk2024-10-25 22:12 6.9K 
[   ]py3-tailer-0.4.1-r7.apk2024-10-25 22:12 6.9K 
[   ]pixi-doc-0.24.2-r0.apk2024-10-25 22:12 6.9K 
[   ]perl-cgi-expand-2.05-r4.apk2024-10-25 22:12 6.9K 
[   ]halp-doc-0.2.0-r0.apk2024-10-25 22:11 6.9K 
[   ]py3-banal-1.0.6-r4.apk2024-10-25 22:12 6.9K 
[   ]openslide-dev-3.4.1-r3.apk2024-10-25 22:12 6.9K 
[   ]dublin-traceroute-dev-0.4.2-r4.apk2024-10-25 22:11 6.9K 
[   ]tui-journal-doc-0.10.0-r0.apk2024-10-25 22:13 6.9K 
[   ]perl-storable-improved-doc-0.1.3-r0.apk2024-10-25 22:12 6.9K 
[   ]php81-sysvshm-8.1.31-r0.apk2024-11-20 02:22 6.9K 
[   ]perl-tickit-widget-menu-doc-0.16-r0.apk2024-10-25 22:12 6.9K 
[   ]fox-utils-1.6.57-r0.apk2024-10-25 22:11 6.9K 
[   ]py3-x-wr-timezone-pyc-2.0.0-r0.apk2024-12-06 23:31 6.9K 
[   ]json2tsv-1.2-r0.apk2024-10-25 22:11 6.9K 
[   ]perl-constant-defer-doc-6-r5.apk2024-10-25 22:12 7.0K 
[   ]perl-crypt-saltedhash-0.09-r5.apk2024-10-25 22:12 7.0K 
[   ]py3-pytest-expect-pyc-1.1.0-r10.apk2024-10-25 22:12 7.0K 
[   ]apk-autoupdate-doc-0_git20210421-r1.apk2024-11-18 19:00 7.0K 
[   ]perl-object-array-doc-0.060-r0.apk2024-10-25 22:12 7.0K 
[   ]nkk-doc-0_git20221010-r0.apk2024-10-25 22:11 7.0K 
[   ]py3-pytap2-2.3.0-r0.apk2024-10-25 22:12 7.0K 
[   ]py3-flask-themer-pyc-2.0.0-r2.apk2024-10-25 22:12 7.0K 
[   ]py3-pip-system-certs-4.0-r1.apk2024-10-25 22:12 7.0K 
[   ]tree-sitter-git-rebase-0_git20220110-r2.apk2024-10-25 22:12 7.0K 
[   ]oil-doc-0.21.0-r0.apk2024-10-25 22:12 7.0K 
[   ]fyi-doc-1.0.4-r0.apk2024-10-25 22:11 7.0K 
[   ]libmysofa-dev-1.3.2-r0.apk2024-10-25 22:11 7.0K 
[   ]tmpmail-1.2.3-r2.apk2024-10-25 22:12 7.0K 
[   ]perl-constant-generate-doc-0.17-r5.apk2024-10-25 22:12 7.0K 
[   ]wasm-tools-doc-1.223.0-r0.apk2025-01-11 23:14 7.1K 
[   ]perl-uri-fetch-0.15-r0.apk2024-10-25 22:12 7.1K 
[   ]py3-flake8-polyfill-1.0.2-r5.apk2024-10-25 22:12 7.1K 
[   ]tiptop-doc-2.3.1-r2.apk2024-10-25 22:12 7.1K 
[   ]py3-pbkdf2-pyc-1.3-r7.apk2024-10-25 22:12 7.1K 
[   ]adjtimex-doc-1.29-r0.apk2024-10-25 22:10 7.1K 
[   ]ocaml-mirage-random-3.0.0-r3.apk2024-10-25 22:12 7.1K 
[   ]cyrus-sasl-xoauth2-static-0.2-r1.apk2024-10-25 22:11 7.1K 
[   ]py3-logfury-pyc-1.0.1-r0.apk2024-10-25 22:12 7.1K 
[   ]perl-test-expander-2.5.1-r0.apk2024-10-25 22:12 7.1K 
[   ]boxes-doc-2.3.1-r0.apk2024-10-25 22:11 7.1K 
[   ]perl-full-1.004-r0.apk2024-10-25 22:12 7.1K 
[   ]nvim-web-devicons-doc-0.100_git20241011-r0.apk2024-11-11 04:58 7.1K 
[   ]moon-buggy-doc-1.0.51-r1.apk2024-10-25 22:11 7.1K 
[   ]py3-flask-bcrypt-1.0.1-r5.apk2024-10-25 22:12 7.1K 
[   ]pmccabe-doc-2.8-r1.apk2024-10-25 22:12 7.1K 
[   ]perl-graphql-client-0.605-r0.apk2024-10-25 22:12 7.1K 
[   ]dsp-doc-1.9-r2.apk2024-10-25 22:11 7.2K 
[   ]pixi-bash-completion-0.24.2-r0.apk2024-10-25 22:12 7.2K 
[   ]saait-0.8-r0.apk2024-10-25 22:12 7.2K 
[   ]serialdv-1.1.4-r0.apk2024-10-25 22:12 7.2K 
[   ]perl-devel-leak-0.03-r13.apk2024-10-25 22:12 7.2K 
[   ]visidata-zsh-completion-2.11.1-r2.apk2024-10-25 22:13 7.2K 
[   ]ovos-phal-pyc-0.2.7-r0.apk2024-11-21 14:32 7.2K 
[   ]pptpclient-doc-1.10.0-r5.apk2024-10-25 22:12 7.2K 
[   ]perl-tickit-widget-menu-0.16-r0.apk2024-10-25 22:12 7.2K 
[   ]ecm-doc-7.0.5-r1.apk2024-10-25 22:11 7.2K 
[   ]py3-shodan-doc-1.31.0-r1.apk2024-10-25 22:12 7.2K 
[   ]py3-banal-pyc-1.0.6-r4.apk2024-10-25 22:12 7.2K 
[   ]prosody-mod-cloud_notify-0.11_hg20201208-r0.apk2024-10-25 22:12 7.2K 
[   ]ocaml-mmap-1.2.0-r3.apk2024-10-25 22:12 7.2K 
[   ]bananui-clock-0.1.0-r0.apk2024-10-25 22:10 7.2K 
[   ]bchunk-1.2.2-r3.apk2024-10-25 22:10 7.2K 
[   ]litterbox-doc-1.9-r1.apk2024-10-25 22:11 7.2K 
[   ]bkt-doc-0.8.0-r0.apk2024-10-25 22:11 7.3K 
[   ]perl-log-fu-doc-0.31-r4.apk2024-10-25 22:12 7.3K 
[   ]advancescan-doc-1.18-r1.apk2024-10-25 22:10 7.3K 
[   ]tree-sitter-vimdoc-doc-3.0.0-r2.apk2025-01-10 07:09 7.3K 
[   ]perl-color-ansi-util-0.165-r0.apk2024-10-25 22:12 7.3K 
[   ]py3-async-lru-2.0.4-r1.apk2024-10-25 22:12 7.3K 
[   ]xcape-1.2-r0.apk2024-10-25 22:13 7.3K 
[   ]perl-gtk2-ex-listmodelconcat-doc-11-r4.apk2024-10-25 22:12 7.3K 
[   ]keystone-dev-0.9.2-r6.apk2024-10-25 22:11 7.3K 
[   ]lizardfs-cgiserv-3.13.0-r14.apk2024-12-03 15:32 7.3K 
[   ]py3-colorthief-0.2.1-r1.apk2024-10-25 22:12 7.3K 
[   ]hexer-dev-1.4.0-r16.apk2024-11-08 01:09 7.3K 
[   ]enlighten-0.9.2-r1.apk2024-10-25 22:11 7.3K 
[   ]jbigkit-doc-2.1-r2.apk2024-10-25 22:11 7.3K 
[   ]py3-sphinxcontrib-sqltable-2.0.0-r8.apk2024-10-25 22:12 7.3K 
[   ]perl-openapi-client-doc-1.07-r0.apk2024-10-25 22:12 7.4K 
[   ]php81-pecl-lzf-1.7.0-r0.apk2024-10-25 22:12 7.4K 
[   ]perl-string-crc32-2.100-r4.apk2024-10-25 22:12 7.4K 
[   ]mint-x-icons-doc-1.7.2-r0.apk2024-12-24 11:07 7.4K 
[   ]py3-proglog-0.1.10-r2.apk2024-10-25 22:12 7.4K 
[   ]perl-color-rgb-util-doc-0.607-r0.apk2024-10-25 22:12 7.4K 
[   ]py3-ntplib-0.4.0-r5.apk2024-10-25 22:12 7.4K 
[   ]py3-python-archive-0.2-r7.apk2024-10-25 22:12 7.4K 
[   ]perl-constant-defer-6-r5.apk2024-10-25 22:12 7.4K 
[   ]py3-pcbnewtransition-0.4.2-r0.apk2024-11-30 00:03 7.4K 
[   ]perl-session-storage-secure-doc-1.000-r2.apk2024-10-25 22:12 7.4K 
[   ]cargo-udeps-doc-0.1.54-r0.apk2025-01-12 14:12 7.4K 
[   ]libfyaml-doc-0.9-r0.apk2024-10-25 22:11 7.4K 
[   ]git2json-0.2.3-r8.apk2024-10-25 22:11 7.4K 
[   ]cliquer-dev-1.22-r2.apk2024-10-25 22:11 7.4K 
[   ]py3-more-properties-1.1.1-r3.apk2024-10-25 22:12 7.4K 
[   ]pass2csv-pyc-1.1.1-r1.apk2024-10-25 22:12 7.5K 
[   ]perl-class-accessor-grouped-doc-0.10014-r2.apk2024-10-25 22:12 7.5K 
[   ]py3-columnize-pyc-0.3.11-r4.apk2024-10-25 22:12 7.5K 
[   ]perl-string-compare-constanttime-0.321-r6.apk2024-10-25 22:12 7.5K 
[   ]perl-ryu-async-0.020-r0.apk2024-10-25 22:12 7.5K 
[   ]cscope-doc-15.9-r1.apk2024-10-25 22:11 7.5K 
[   ]py3-pickle-secure-0.99.9-r1.apk2024-10-25 22:12 7.5K 
[   ]py3-lsp-black-2.0.0-r1.apk2024-10-25 22:12 7.5K 
[   ]py3-simplespectral-1.0.0-r5.apk2024-10-25 22:12 7.5K 
[   ]wakeonlan-doc-0.42-r0.apk2024-10-25 22:13 7.5K 
[   ]aqemu-doc-0.9.4-r3.apk2024-10-25 22:10 7.5K 
[   ]perl-uri-fetch-doc-0.15-r0.apk2024-10-25 22:12 7.5K 
[   ]perl-dbix-datasource-doc-0.02-r5.apk2024-10-25 22:12 7.5K 
[   ]perl-file-rename-2.02-r0.apk2024-10-25 22:12 7.5K 
[   ]py3-sphinxcontrib-blockdiag-3.0.0-r4.apk2024-10-25 22:12 7.5K 
[   ]py3-litex-hub-pythondata-cpu-naxriscv-2024.04-r0.apk2024-10-25 22:12 7.6K 
[   ]fungw-dev-1.2.1-r0.apk2024-12-30 10:48 7.6K 
[   ]py3-wg-netns-2.3.1-r1.apk2024-10-25 22:12 7.6K 
[   ]py3-eradicate-2.3.0-r2.apk2024-10-25 22:12 7.6K 
[   ]libibumad-dev-1.3.10.2-r3.apk2024-10-25 22:11 7.6K 
[   ]py3-notifymail-1.1-r8.apk2024-10-25 22:12 7.6K 
[   ]dvdbackup-doc-0.4.2-r1.apk2024-10-25 22:11 7.6K 
[   ]perl-net-jabber-bot-doc-2.1.7-r0.apk2024-10-25 22:12 7.6K 
[   ]gamemode-doc-0_git20240327-r0.apk2024-10-25 22:11 7.6K 
[   ]perl-x-tiny-doc-0.22-r0.apk2024-10-25 22:12 7.6K 
[   ]ffms2-dev-5.0-r0.apk2024-10-25 22:11 7.6K 
[   ]rsstail-2.1-r1.apk2024-10-25 22:12 7.6K 
[   ]perl-sentinel-0.07-r1.apk2024-10-25 22:12 7.6K 
[   ]py3-sphinxcontrib-gravatar-pyc-0.1.2-r8.apk2024-10-25 22:12 7.6K 
[   ]py3-jaraco.path-3.7.2-r0.apk2024-10-25 22:12 7.6K 
[   ]perl-datetime-timezone-alias-doc-0.06-r0.apk2024-10-25 22:12 7.6K 
[   ]py3-sphinxcontrib-actdiag-3.0.0-r4.apk2024-10-25 22:12 7.6K 
[   ]opkg-doc-0.7.0-r0.apk2024-10-25 22:12 7.6K 
[   ]py3-sphinxcontrib-seqdiag-3.0.0-r5.apk2024-10-25 22:12 7.6K 
[   ]perl-email-abstract-3.010-r0.apk2024-10-25 22:12 7.7K 
[   ]py3-openapi-codec-1.3.2-r9.apk2024-10-25 22:12 7.7K 
[   ]geomyidae-doc-0.34-r2.apk2024-10-25 22:11 7.7K 
[   ]gmic-dev-3.3.5-r1.apk2024-11-21 23:55 7.7K 
[   ]arc-xfwm-20221218-r0.apk2024-10-25 22:10 7.7K 
[   ]alarmwakeup-0.2.1-r0.apk2024-10-25 22:10 7.7K 
[   ]postgresql-pg_partman-scripts-5.0.0-r0.apk2024-10-25 22:12 7.7K 
[   ]runst-doc-0.1.7-r0.apk2024-10-25 22:12 7.7K 
[   ]ustream-ssl-20220116-r1.apk2024-10-25 22:13 7.7K 
[   ]arc-lighter-xfwm-20221218-r0.apk2024-10-25 22:10 7.7K 
[   ]py3-sstash-0.17-r9.apk2024-10-25 22:12 7.7K 
[   ]moosefs-cgiserv-3.0.117-r2.apk2024-10-25 22:11 7.7K 
[   ]mat2-doc-0.13.4-r3.apk2024-10-25 22:11 7.7K 
[   ]kmscon-doc-9.0.0-r0.apk2024-10-25 22:11 7.7K 
[   ]haxe-doc-4.3.6-r0.apk2024-11-29 07:11 7.7K 
[   ]perl-test-distribution-2.00-r1.apk2024-10-25 22:12 7.8K 
[   ]perl-syntax-keyword-match-doc-0.15-r0.apk2024-10-25 22:12 7.8K 
[   ]walk-sor-doc-0_git20190920-r1.apk2024-10-25 22:13 7.8K 
[   ]libjodycode-3.1.1-r0.apk2024-10-25 22:11 7.8K 
[   ]py3-bottle-session-pyc-1.0-r6.apk2024-10-25 22:12 7.8K 
[   ]nvim-cmp-buffer-0.0.0_git20220810-r1.apk2024-10-25 22:11 7.8K 
[   ]cargo-shuttle-zsh-completion-0.51.0-r0.apk2025-01-10 21:35 7.8K 
[   ]py3-quebra-frases-pyc-0.3.7-r1.apk2024-10-25 22:12 7.8K 
[   ]perl-graphql-client-cli-0.605-r0.apk2024-10-25 22:12 7.8K 
[   ]perl-bsd-resource-doc-1.2911-r10.apk2024-10-25 22:12 7.9K 
[   ]py3-click-threading-pyc-0.5.0-r5.apk2024-10-25 22:12 7.9K 
[   ]py3-logfury-1.0.1-r0.apk2024-10-25 22:12 7.9K 
[   ]py3-sphinxcontrib-gravatar-0.1.2-r8.apk2024-10-25 22:12 7.9K 
[   ]py3-simplesoapy-1.5.1-r7.apk2024-10-25 22:12 7.9K 
[   ]arc-dark-xfwm-20221218-r0.apk2024-10-25 22:10 7.9K 
[   ]perl-dbix-class-candy-0.005004-r0.apk2024-10-30 07:00 7.9K 
[   ]arc-darker-xfwm-20221218-r0.apk2024-10-25 22:10 7.9K 
[   ]py3-flake8-builtins-pyc-2.5.0-r0.apk2024-12-07 22:51 7.9K 
[   ]care-doc-2.3.0-r1.apk2024-10-25 22:11 7.9K 
[   ]libnfc-dev-1.8.0-r1.apk2024-10-25 22:11 7.9K 
[   ]py3-flask-themer-2.0.0-r2.apk2024-10-25 22:12 7.9K 
[   ]py3-pytest-metadata-pyc-3.1.1-r0.apk2024-10-25 22:12 7.9K 
[   ]jhead-doc-3.08-r0.apk2024-10-25 22:11 7.9K 
[   ]timoni-bash-completion-0.23.0-r1.apk2025-01-19 12:55 7.9K 
[   ]fulcrum-admin-1.9.8-r1.apk2024-10-25 22:11 7.9K 
[   ]perl-string-random-0.32-r2.apk2024-10-25 22:12 7.9K 
[   ]py3-sphinxcontrib-lassodomain-0.4-r8.apk2024-10-25 22:12 7.9K 
[   ]py3-flask-loopback-pyc-1.4.7-r7.apk2024-10-25 22:12 7.9K 
[   ]py3-clickclick-20.10.2-r4.apk2024-10-25 22:12 8.0K 
[   ]harminv-1.4.2-r1.apk2024-10-25 22:11 8.0K 
[   ]cdba-1.0-r2.apk2024-10-25 22:11 8.0K 
[   ]libgrapheme-doc-1-r0.apk2024-10-25 22:11 8.0K 
[   ]amiitool-2-r2.apk2024-10-25 22:10 8.0K 
[   ]stw-0.3-r0.apk2024-10-25 22:12 8.0K 
[   ]py3-flask-httpauth-4.8.0-r2.apk2024-10-25 22:12 8.0K 
[   ]py3-zope-i18nmessageid-pyc-6.1.0-r2.apk2024-10-25 22:12 8.0K 
[   ]code-minimap-doc-0.6.7-r0.apk2024-12-12 20:38 8.0K 
[   ]perl-netaddr-mac-doc-0.98-r1.apk2024-10-25 22:12 8.0K 
[   ]sigrok-cli-doc-0.7.2-r0.apk2024-10-25 22:12 8.0K 
[   ]boinc-doc-7.24.3-r0.apk2024-10-25 22:11 8.0K 
[   ]py3-simplematch-1.4-r1.apk2024-10-25 22:12 8.0K 
[   ]perl-tickit-widget-scrollbox-0.12-r0.apk2024-10-25 22:12 8.0K 
[   ]perl-throwable-doc-1.001-r1.apk2024-10-25 22:12 8.0K 
[   ]nfoview-doc-2.0.1-r0.apk2024-10-25 22:11 8.0K 
[   ]libretro-gong-0_git20220319-r0.apk2024-10-25 22:11 8.0K 
[   ]libb64-doc-2.0.0.1-r0.apk2024-10-25 22:11 8.0K 
[   ]perl-signature-attribute-checked-0.06-r0.apk2024-10-25 22:12 8.0K 
[   ]ocaml-omake-doc-0.10.6-r0.apk2024-10-25 22:12 8.0K 
[   ]vmtouch-doc-1.3.1-r0.apk2024-10-25 22:13 8.0K 
[   ]exabgp-doc-4.2.22-r0.apk2024-11-30 11:48 8.0K 
[   ]perl-math-random-isaac-xs-1.004-r8.apk2024-10-25 22:12 8.0K 
[   ]perl-dbix-introspector-0.001005-r4.apk2024-10-25 22:12 8.1K 
[   ]ocaml-result-dev-1.5-r2.apk2024-10-25 22:12 8.1K 
[   ]passage-1.7.4_alpha2-r0.apk2024-12-24 12:03 8.1K 
[   ]perl-list-binarysearch-xs-doc-0.09-r1.apk2024-10-25 22:12 8.1K 
[   ]agrep-0.8.0-r2.apk2024-10-25 22:10 8.1K 
[   ]perl-text-table-any-0.117-r0.apk2024-10-25 22:12 8.1K 
[   ]py3-ovos-ocp-m3u-plugin-0.0.2-r0.apk2024-10-25 22:12 8.1K 
[   ]py3-jaraco.stream-pyc-3.0.4-r0.apk2024-12-14 23:56 8.1K 
[   ]refine-lang-0.4.1-r0.apk2025-01-20 15:46 8.1K 
[   ]py3-simplespectral-pyc-1.0.0-r5.apk2024-10-25 22:12 8.1K 
[   ]cliquer-1.22-r2.apk2024-10-25 22:11 8.1K 
[   ]libcorkipset-dev-1.1.1-r4.apk2024-10-25 22:11 8.1K 
[   ]py3-ly-doc-0.9.8-r1.apk2024-10-25 22:12 8.1K 
[   ]ttfautohint-doc-1.8.4-r0.apk2024-10-25 22:13 8.1K 
[   ]php81-sysvmsg-8.1.31-r0.apk2024-11-20 02:22 8.1K 
[   ]lomiri-libusermetrics-dev-1.3.3-r0.apk2024-10-25 22:11 8.1K 
[   ]perl-adapter-async-0.019-r0.apk2024-10-25 22:12 8.1K 
[   ]py3-pygelbooru-0.5.0-r4.apk2024-10-25 22:12 8.1K 
[   ]libmhash-doc-0.9.9.9-r3.apk2024-10-25 22:11 8.2K 
[   ]rtl-power-fftw-doc-20200601-r4.apk2024-10-25 22:12 8.2K 
[   ]wol-lang-0.7.1-r3.apk2024-10-25 22:13 8.2K 
[   ]libzn_poly-dev-0.9.2-r2.apk2024-10-25 22:11 8.2K 
[   ]ruby-rainbow-3.1.1-r0.apk2024-10-25 22:12 8.2K 
[   ]queercat-1.0.0-r0.apk2024-10-25 22:12 8.2K 
[   ]logc-0.5.0-r0.apk2024-10-25 22:11 8.2K 
[   ]fungw-c-1.2.1-r0.apk2024-12-30 10:48 8.2K 
[   ]perl-data-checks-doc-0.10-r0.apk2024-10-25 22:12 8.2K 
[   ]cargo-update-doc-16.0.0-r0.apk2024-12-14 19:07 8.2K 
[   ]php81-pecl-maxminddb-1.12.0-r0.apk2024-11-15 17:17 8.2K 
[   ]py3-flask-paginate-0.8.1-r6.apk2024-10-25 22:12 8.2K 
[   ]py3-more-properties-pyc-1.1.1-r3.apk2024-10-25 22:12 8.2K 
[   ]py3-ovos-audio-plugin-simple-pyc-0.0.1-r0.apk2024-10-25 22:12 8.2K 
[   ]bootinfo-pyc-0.1.0-r4.apk2024-10-25 22:11 8.2K 
[   ]wput-doc-0.6.2-r4.apk2024-10-25 22:13 8.2K 
[   ]py3-openssh-wrapper-0.5_git20130425-r4.apk2024-10-25 22:12 8.2K 
[   ]hiprompt-gtk-py-0.8.0-r0.apk2024-10-25 22:11 8.3K 
[   ]edam-doc-1.0.2-r0.apk2025-01-07 16:32 8.3K 
[   ]perl-daemon-control-doc-0.001010-r2.apk2024-10-25 22:12 8.3K 
[   ]ocaml-mirage-time-dev-3.0.0-r4.apk2024-10-25 22:12 8.3K 
[   ]disfetch-3.7-r0.apk2024-10-25 22:11 8.3K 
[   ]meson-tools-doc-0.1-r2.apk2024-12-09 17:38 8.3K 
[   ]flowd-dev-0.9.1-r10.apk2024-10-25 22:11 8.3K 
[   ]py3-ovos-ocp-rss-plugin-0.0.3-r0.apk2024-10-25 22:12 8.3K 
[   ]cargo-shuttle-fish-completion-0.51.0-r0.apk2025-01-10 21:35 8.3K 
[   ]apache2-mod-authnz-external-3.3.3-r0.apk2024-10-25 22:10 8.3K 
[   ]perl-syntax-operator-equ-0.10-r0.apk2024-10-25 22:12 8.3K 
[   ]py3-ovos-translate-server-plugin-0.0.0-r0.apk2024-10-25 22:12 8.3K 
[   ]py3-junit-xml-1.9-r3.apk2024-10-25 22:12 8.3K 
[   ]sxcs-1.1.0-r0.apk2024-10-25 22:12 8.3K 
[   ]py3-eradicate-pyc-2.3.0-r2.apk2024-10-25 22:12 8.3K 
[   ]perl-test-lwp-useragent-doc-0.036-r0.apk2024-10-25 22:12 8.3K 
[   ]perl-uri-db-doc-0.23-r0.apk2025-01-09 06:27 8.4K 
[   ]pass2csv-1.1.1-r1.apk2024-10-25 22:12 8.4K 
[   ]tinycbor-dev-0.6.0-r1.apk2024-10-25 22:12 8.4K 
[   ]php81-pspell-8.1.31-r0.apk2024-11-20 02:22 8.4K 
[   ]tmux-resurrect-doc-4.0.0-r0.apk2024-10-25 22:12 8.4K 
[   ]perl-test-timer-doc-2.12-r2.apk2024-10-25 22:12 8.4K 
[   ]btpd-doc-0.16-r2.apk2024-10-25 22:11 8.4K 
[   ]py3-dotty-dict-1.3.1-r4.apk2024-10-25 22:12 8.4K 
[   ]limnoria-doc-20240828-r0.apk2024-10-25 22:11 8.4K 
[   ]py3-landlock-1.0.0_pre4-r2.apk2024-10-25 22:12 8.4K 
[   ]gutenprint-doc-5.3.4-r5.apk2024-10-25 22:11 8.4K 
[   ]py3-python-logstash-pyc-0.4.8-r4.apk2024-10-25 22:12 8.4K 
[   ]tty-clock-2.3_git20240104-r0.apk2024-10-25 22:13 8.4K 
[   ]mediastreamer2-plugin-x264-20200722-r6.apk2024-10-25 22:11 8.4K 
[   ]libirecovery-progs-1.2.1-r0.apk2024-10-30 23:44 8.4K 
[   ]perl-dbix-introspector-doc-0.001005-r4.apk2024-10-25 22:12 8.5K 
[   ]perl-dancer-plugin-passphrase-doc-2.0.1-r4.apk2024-10-25 22:12 8.5K 
[   ]foma-dev-0.10.0_git20240712-r0.apk2024-10-25 22:11 8.5K 
[   ]sshuttle-doc-1.1.2-r0.apk2024-10-25 22:12 8.5K 
[   ]ssh-honeypot-0.1.1-r1.apk2024-10-25 22:12 8.5K 
[   ]meson-tools-0.1-r2.apk2024-12-09 17:38 8.5K 
[   ]perl-term-ui-doc-0.50-r1.apk2024-10-25 22:12 8.5K 
[   ]perl-future-asyncawait-hooks-0.02-r0.apk2024-10-25 22:12 8.5K 
[   ]lgogdownloader-doc-3.16-r0.apk2024-12-25 18:08 8.5K 
[   ]s-dkim-sign-doc-0.6.2-r0.apk2024-10-25 22:12 8.5K 
[   ]sylpheed-imap-notify-1.1.0-r2.apk2024-10-25 22:12 8.5K 
[   ]py3-columnize-0.3.11-r4.apk2024-10-25 22:12 8.5K 
[   ]perl-net-netmask-doc-2.0002-r2.apk2024-10-25 22:12 8.5K 
[   ]py3-playsound-pyc-1.3.0-r1.apk2024-10-25 22:12 8.5K 
[   ]dex-0.10.1-r0.apk2024-12-25 17:21 8.5K 
[   ]pounce-doc-3.1-r3.apk2024-10-25 22:12 8.6K 
[   ]prosody-mod-smacks-0.11_hg20201208-r0.apk2024-10-25 22:12 8.6K 
[   ]thermald-doc-2.5.8-r0.apk2024-11-12 12:29 8.6K 
[   ]pinentry-bemenu-0.13.1-r0.apk2024-10-25 22:12 8.6K 
[   ]py3-python-logstash-0.4.8-r4.apk2024-10-25 22:12 8.6K 
[   ]hurl-doc-6.0.0-r0.apk2024-12-07 21:18 8.6K 
[   ]py3-async-lru-pyc-2.0.4-r1.apk2024-10-25 22:12 8.6K 
[   ]rustic-bash-completion-0.9.3-r0.apk2024-10-25 22:12 8.6K 
[   ]py3-ovos-phal-plugin-connectivity-events-0.1.1-r0.apk2024-11-21 14:32 8.6K 
[   ]py3-vatnumber-pyc-1.2-r9.apk2024-10-25 22:12 8.6K 
[   ]py3-ntplib-pyc-0.4.0-r5.apk2024-10-25 22:12 8.6K 
[   ]winetricks-bash-completion-20240105-r0.apk2024-11-12 11:49 8.6K 
[   ]libcyaml-doc-1.4.2-r0.apk2024-10-25 22:11 8.6K 
[   ]perl-openapi-client-1.07-r0.apk2024-10-25 22:12 8.6K 
[   ]createrepo_c-doc-1.1.4-r0.apk2024-10-25 22:11 8.7K 
[   ]cvs-fast-export-tools-1.65-r0.apk2024-10-25 22:11 8.7K 
[   ]daktilo-doc-0.6.0-r0.apk2024-10-25 22:11 8.7K 
[   ]py3-cucumber-tag-expressions-6.1.1-r0.apk2024-12-01 21:13 8.7K 
[   ]perl-promise-xs-doc-0.20-r1.apk2024-10-25 22:12 8.7K 
[   ]perl-sort-naturally-1.03-r4.apk2024-10-25 22:12 8.7K 
[   ]py3-dotty-dict-pyc-1.3.1-r4.apk2024-10-25 22:12 8.7K 
[   ]subdl-0_git20230616-r1.apk2024-10-25 22:12 8.7K 
[   ]ruby-syslog-0.2.0-r1.apk2025-01-19 16:39 8.7K 
[   ]mod_dnssd-0.6-r0.apk2024-10-25 22:11 8.7K 
[   ]py3-uptime-pyc-3.0.1-r9.apk2024-10-25 22:12 8.7K 
[   ]py3-certauth-1.3.0-r1.apk2024-10-25 22:12 8.7K 
[   ]py3-class-doc-pyc-1.25-r1.apk2024-10-25 22:12 8.7K 
[   ]quodlibet-doc-4.6.0-r1.apk2024-10-25 22:12 8.7K 
[   ]py3-sphinxcontrib-nwdiag-2.0.0-r7.apk2024-10-25 22:12 8.7K 
[   ]mm-1.4.2-r1.apk2024-10-25 22:11 8.7K 
[   ]p910nd-0.97-r2.apk2024-10-25 22:12 8.7K 
[   ]h4h5tools-dev-2.2.5-r4.apk2024-10-25 22:11 8.8K 
[   ]perl-constant-generate-0.17-r5.apk2024-10-25 22:12 8.8K 
[   ]py3-translationstring-pyc-1.4-r4.apk2024-10-25 22:12 8.8K 
[   ]md5ha1-0_git20171202-r1.apk2024-10-25 22:11 8.8K 
[   ]vcsh-2.0.5-r0.apk2024-10-25 22:13 8.8K 
[   ]ocaml-lambda-term-doc-3.2.0-r4.apk2024-10-25 22:12 8.8K 
[   ]perl-crypt-random-seed-doc-0.03-r0.apk2024-10-25 22:12 8.8K 
[   ]py3-quebra-frases-0.3.7-r1.apk2024-10-25 22:12 8.8K 
[   ]py3-sphinx-theme-readable-1.3.0-r9.apk2024-10-25 22:12 8.8K 
[   ]perl-data-validate-ip-0.31-r1.apk2024-10-25 22:12 8.8K 
[   ]nitrocli-doc-0.4.1-r3.apk2024-10-25 22:11 8.8K 
[   ]perl-session-storage-secure-1.000-r2.apk2024-10-25 22:12 8.8K 
[   ]pam_sqlite3-1.0.2-r2.apk2024-10-25 22:12 8.8K 
[   ]ccze-doc-0.2.1-r1.apk2024-10-25 22:11 8.8K 
[   ]rofi-pass-2.0.2-r2.apk2024-10-25 22:12 8.8K 
[   ]php81-enchant-8.1.31-r0.apk2024-11-20 02:22 8.8K 
[   ]perl-autobox-doc-3.0.2-r0.apk2024-10-25 22:12 8.8K 
[   ]py3-phpserialize-1.3-r8.apk2024-10-25 22:12 8.8K 
[   ]certbot-dns-pdns-0.1.1-r0.apk2024-10-25 22:11 8.9K 
[   ]tdrop-doc-0.5.0-r0.apk2024-10-25 22:12 8.9K 
[   ]libmdbx-doc-0.11.8-r0.apk2024-10-25 22:11 8.9K 
[   ]py3-print-color-0.4.6-r0.apk2024-10-25 22:12 8.9K 
[   ]libucl-doc-0.9.0-r0.apk2024-10-25 22:11 8.9K 
[   ]perl-net-curl-promiser-0.20-r0.apk2024-10-25 22:12 8.9K 
[   ]bananui-demos-2.0.0-r0.apk2024-10-25 22:10 8.9K 
[   ]perl-test-timer-2.12-r2.apk2024-10-25 22:12 8.9K 
[   ]logc-dev-0.5.0-r0.apk2024-10-25 22:11 8.9K 
[   ]icingaweb2-module-pnp-1.1.0-r1.apk2024-10-25 22:11 8.9K 
[   ]perl-object-pad-fieldattr-checked-0.12-r0.apk2024-10-25 22:12 8.9K 
[   ]perl-guard-1.023-r9.apk2024-10-25 22:12 8.9K 
[   ]py3-ovos-ocp-news-plugin-pyc-0.0.4-r0.apk2024-10-25 22:12 8.9K 
[   ]icesprog-0_git20240108-r1.apk2024-10-25 22:11 8.9K 
[   ]py3-pylru-pyc-1.2.1-r1.apk2024-10-25 22:12 8.9K 
[   ]py3-thefuzz-pyc-0.22.1-r1.apk2024-10-25 22:12 8.9K 
[   ]libwbxml-dev-0.11.8-r0.apk2024-10-25 22:11 9.0K 
[   ]reredirect-0.3-r0.apk2024-10-25 22:12 9.0K 
[   ]py3-forbiddenfruit-0.1.4-r2.apk2024-10-25 22:12 9.0K 
[   ]perl-rxperl-anyevent-doc-6.8.1-r0.apk2024-10-25 22:12 9.0K 
[   ]py3-keepalive-0.5-r5.apk2024-10-25 22:12 9.0K 
[   ]fff-doc-2.2-r0.apk2024-10-25 22:11 9.0K 
[   ]jdupes-doc-1.28.0-r0.apk2024-10-25 22:11 9.0K 
[   ]bindfs-doc-1.17.7-r0.apk2025-01-03 15:34 9.0K 
[   ]py3-ovos-microphone-plugin-alsa-0.1.0-r0.apk2024-10-25 22:12 9.0K 
[   ]py3-sphinxcontrib-adadomain-0.2-r9.apk2024-10-25 22:12 9.0K 
[   ]perl-rxperl-ioasync-doc-6.9.1-r0.apk2024-10-25 22:12 9.0K 
[   ]libcotp-3.1.0-r0.apk2024-10-25 22:11 9.0K 
[   ]cargo-chef-doc-0.1.70-r0.apk2025-01-16 00:34 9.0K 
[   ]perl-number-format-doc-1.76-r1.apk2024-10-25 22:12 9.0K 
[   ]pspp-doc-2.0.1-r0.apk2024-10-25 22:12 9.0K 
[   ]freediameter-1.5.0-r1.apk2024-10-25 22:11 9.0K 
[   ]innernet-doc-1.6.1-r0.apk2024-10-25 22:11 9.0K 
[   ]gtk4-layer-shell-dev-1.0.4-r1.apk2024-11-08 08:03 9.0K 
[   ]pamtester-0.1.2-r4.apk2024-10-25 22:12 9.0K 
[   ]perl-rxperl-mojo-doc-6.8.2-r0.apk2024-10-25 22:12 9.1K 
[   ]py3-uc-micro-py-1.0.2-r1.apk2024-10-25 22:12 9.1K 
[   ]gatling-doc-0.16-r6.apk2024-10-25 22:11 9.1K 
[   ]tcc-libs-static-0.9.27_git20241020-r2.apk2025-01-19 12:55 9.1K 
[   ]duc-doc-1.4.5-r0.apk2024-10-25 22:11 9.1K 
[   ]py3-dweepy-0.3.0-r7.apk2024-10-25 22:12 9.1K 
[   ]py3-certauth-pyc-1.3.0-r1.apk2024-10-25 22:12 9.1K 
[   ]lua5.2-luastatic-0.0.12-r1.apk2024-10-25 22:11 9.1K 
[   ]perl-future-q-doc-0.120-r0.apk2024-10-25 22:12 9.1K 
[   ]py3-sphinxcontrib-fulltoc-1.2.0-r8.apk2024-10-25 22:12 9.1K 
[   ]lua-inet-0.2.0-r1.apk2024-10-25 22:11 9.1K 
[   ]lua5.3-luastatic-0.0.12-r1.apk2024-10-25 22:11 9.1K 
[   ]dsnet-doc-0.7.3-r7.apk2025-01-19 12:55 9.1K 
[   ]py3-sphinxcontrib-blockdiag-pyc-3.0.0-r4.apk2024-10-25 22:12 9.2K 
[   ]py3-translationstring-1.4-r4.apk2024-10-25 22:12 9.2K 
[   ]py3-pymsgbox-1.0.9-r5.apk2024-10-25 22:12 9.2K 
[   ]perl-gtk3-doc-0.038-r1.apk2024-10-25 22:12 9.2K 
[   ]horust-doc-0.1.7-r2.apk2024-10-25 22:11 9.2K 
[   ]py3-tls_parser-2.0.1-r1.apk2024-10-25 22:12 9.2K 
[   ]ocaml-result-1.5-r2.apk2024-10-25 22:12 9.2K 
[   ]py3-telegram-text-0.2.0-r1.apk2024-10-25 22:12 9.2K 
[   ]lua5.4-luastatic-0.0.12-r1.apk2024-10-25 22:11 9.2K 
[   ]py3-netifaces2-pyc-0.0.22-r0.apk2024-10-25 22:12 9.2K 
[   ]perl-statistics-basic-1.6611-r0.apk2024-10-25 22:12 9.2K 
[   ]py3-google-trans-new-1.1.9-r2.apk2024-10-25 22:12 9.2K 
[   ]py3-aiohttp-jinja2-pyc-1.6-r2.apk2024-10-25 22:12 9.2K 
[   ]perl-future-http-0.17-r0.apk2024-10-25 22:12 9.2K 
[   ]catdoc-doc-0.95-r1.apk2024-10-25 22:11 9.2K 
[   ]click-dev-0.5.2-r3.apk2024-10-25 22:11 9.3K 
[   ]py3-sphinxcontrib-actdiag-pyc-3.0.0-r4.apk2024-10-25 22:12 9.3K 
[   ]libiscsi-doc-1.19.0-r2.apk2024-10-25 22:11 9.3K 
[   ]perl-class-c3-doc-0.35-r1.apk2024-10-25 22:12 9.3K 
[   ]py3-sphinxcontrib-seqdiag-pyc-3.0.0-r5.apk2024-10-25 22:12 9.3K 
[   ]certbot-dns-njalla-2.0.0-r0.apk2024-11-28 00:05 9.3K 
[   ]perl-database-async-engine-postgresql-doc-1.005-r0.apk2024-10-25 22:12 9.3K 
[   ]py3-junit-xml-pyc-1.9-r3.apk2024-10-25 22:12 9.3K 
[   ]afetch-2.2.0-r1.apk2024-10-25 22:10 9.3K 
[   ]py3-levenshtein-pyc-0.26.1-r0.apk2024-12-19 06:14 9.3K 
[   ]py3-protego-0.3.1-r0.apk2024-11-30 21:18 9.3K 
[   ]py3-python-archive-pyc-0.2-r7.apk2024-10-25 22:12 9.3K 
[   ]sflowtool-doc-6.02-r0.apk2024-10-25 22:12 9.4K 
[   ]perl-color-rgb-util-0.607-r0.apk2024-10-25 22:12 9.4K 
[   ]supermin-doc-5.2.2-r2.apk2024-10-25 22:12 9.4K 
[   ]py3-nose-timer-1.0.1-r6.apk2024-10-25 22:12 9.4K 
[   ]openswitcher-proxy-0.5.0-r4.apk2024-10-25 22:12 9.4K 
[   ]brltty-doc-6.7-r0.apk2024-10-25 22:11 9.4K 
[   ]nbsdgames-doc-5-r0.apk2024-10-25 22:11 9.4K 
[   ]py3-pyisbn-pyc-1.3.1-r3.apk2024-10-25 22:12 9.4K 
[   ]x11docker-doc-7.6.0-r1.apk2024-10-25 22:13 9.4K 
[   ]py3-natpmp-1.3.2-r1.apk2024-10-25 22:12 9.4K 
[   ]imrsh-0_git20210320-r1.apk2024-10-25 22:11 9.4K 
[   ]avarice-doc-2.14-r4.apk2024-10-25 22:10 9.4K 
[   ]py3-intervals-0.9.2-r5.apk2024-10-25 22:12 9.4K 
[   ]apt-mirror-0.5.4-r0.apk2024-10-25 22:10 9.4K 
[   ]lomiri-trust-store-dev-2.0.2-r6.apk2024-10-25 22:11 9.5K 
[   ]perl-class-c3-0.35-r1.apk2024-10-25 22:12 9.5K 
[   ]cargo-shuttle-doc-0.51.0-r0.apk2025-01-10 21:35 9.5K 
[   ]t2sz-1.1.2-r0.apk2024-10-25 22:12 9.5K 
[   ]openslide-tools-3.4.1-r3.apk2024-10-25 22:12 9.5K 
[   ]lomiri-indicator-network-dev-1.1.0-r0.apk2025-01-10 11:15 9.5K 
[   ]gpg-remailer-doc-3.04.07-r1.apk2024-10-25 22:11 9.5K 
[   ]perl-dbix-class-candy-doc-0.005004-r0.apk2024-10-30 07:00 9.5K 
[   ]php81-pecl-pcov-1.0.12-r0.apk2024-12-04 18:17 9.5K 
[   ]py3-lib_users-pyc-0.15-r4.apk2024-10-25 22:12 9.5K 
[   ]py3-ovos-tts-server-plugin-0.0.2_alpha13-r1.apk2024-10-25 22:12 9.5K 
[   ]py3-jaraco.path-pyc-3.7.2-r0.apk2024-10-25 22:12 9.5K 
[   ]ocaml-ppx_derivers-1.2.1-r2.apk2024-10-25 22:12 9.5K 
[   ]py3-landlock-pyc-1.0.0_pre4-r2.apk2024-10-25 22:12 9.5K 
[   ]libtsm-dev-4.0.2-r1.apk2024-10-25 22:11 9.5K 
[   ]extrace-0.9-r0.apk2024-10-25 22:11 9.5K 
[   ]py3-pcbnewtransition-pyc-0.4.2-r0.apk2024-11-30 00:03 9.5K 
[   ]fatresize-1.1.0-r1.apk2024-10-25 22:11 9.5K 
[   ]endlessh-1.1-r0.apk2024-10-25 22:11 9.6K 
[   ]py3-ovos-phal-plugin-system-pyc-1.0.1-r0.apk2024-11-21 14:32 9.6K 
[   ]s-postgray-doc-0.8.3-r0.apk2024-10-25 22:12 9.6K 
[   ]perl-algorithm-backoff-0.010-r0.apk2024-10-25 22:12 9.6K 
[   ]rygel-doc-0.44.1-r0.apk2024-12-01 13:58 9.6K 
[   ]atool-doc-0.39.0-r4.apk2024-10-25 22:10 9.6K 
[   ]perl-future-q-0.120-r0.apk2024-10-25 22:12 9.6K 
[   ]amdgpu-fan-pyc-0.1.0-r5.apk2024-10-25 22:10 9.6K 
[   ]irccd-dev-4.0.3-r0.apk2024-10-25 22:11 9.6K 
[   ]kerberoast-0.2.0-r1.apk2024-10-25 22:11 9.6K 
[   ]py3-pyrebase-3.0.27-r5.apk2024-10-25 22:12 9.6K 
[   ]perl-minion-backend-pg-10.31-r0.apk2024-10-25 22:12 9.6K 
[   ]perl-musicbrainz-discid-0.06-r1.apk2024-10-25 22:12 9.6K 
[   ]py3-forbiddenfruit-pyc-0.1.4-r2.apk2024-10-25 22:12 9.7K 
[   ]py3-ovos-phal-plugin-oauth-pyc-0.1.3-r0.apk2024-11-21 14:32 9.7K 
[   ]keystone-python-pyc-0.9.2-r6.apk2024-10-25 22:11 9.7K 
[   ]perl-net-amqp-rabbitmq-doc-2.40012-r0.apk2024-10-25 22:12 9.7K 
[   ]py3-mnemonic-pyc-0.21-r0.apk2024-10-25 22:12 9.7K 
[   ]pick-4.0.0-r0.apk2024-10-25 22:12 9.7K 
[   ]py3-iso639-lang-pyc-2.2.3-r0.apk2024-10-25 22:12 9.7K 
[   ]perl-dancer-plugin-passphrase-2.0.1-r4.apk2024-10-25 22:12 9.7K 
[   ]console_bridge-1.0.2-r0.apk2024-10-25 22:11 9.8K 
[   ]py3-pep8-naming-0.14.1-r0.apk2024-10-25 22:12 9.8K 
[   ]emacs-hnreader-0_git20221116-r0.apk2024-10-25 22:11 9.8K 
[   ]py3-clickclick-pyc-20.10.2-r4.apk2024-10-25 22:12 9.8K 
[   ]py3-jaraco.vcs-2.4.0-r0.apk2024-10-25 22:12 9.8K 
[   ]perl-hash-ordered-0.014-r0.apk2024-10-25 22:12 9.8K 
[   ]nsnake-3.0.0-r0.apk2024-10-25 22:11 9.8K 
[   ]ovos-messagebus-0.0.8-r0.apk2024-11-25 13:41 9.8K 
[   ]handlebars-utils-1.0.0-r1.apk2024-10-25 22:11 9.8K 
[   ]perl-test-lwp-useragent-0.036-r0.apk2024-10-25 22:12 9.8K 
[   ]perl-net-async-redis-xs-1.001-r1.apk2024-10-25 22:12 9.8K 
[   ]py3-synapse-auto-accept-invite-1.2.0-r0.apk2024-10-25 22:12 9.8K 
[   ]planarity-3.0.2.0-r2.apk2024-10-25 22:12 9.8K 
[   ]py3-pymsgbox-pyc-1.0.9-r5.apk2024-10-25 22:12 9.8K 
[   ]perl-freezethaw-0.5001-r2.apk2024-10-25 22:12 9.8K 
[   ]libgrapheme-1-r0.apk2024-10-25 22:11 9.9K 
[   ]py3-natpmp-pyc-1.3.2-r1.apk2024-10-25 22:12 9.9K 
[   ]perl-test-toolbox-0.4-r5.apk2024-10-25 22:12 9.9K 
[   ]perl-test-modern-doc-0.013-r3.apk2024-10-25 22:12 9.9K 
[   ]apt-dater-doc-1.0.4-r4.apk2024-10-25 22:10 9.9K 
[   ]libvoikko-dev-4.3.2-r1.apk2024-10-25 22:11 9.9K 
[   ]fyi-1.0.4-r0.apk2024-10-25 22:11 9.9K 
[   ]py3-typing_inspect-0.9.0-r2.apk2024-10-25 22:12 9.9K 
[   ]py3-nose-timer-pyc-1.0.1-r6.apk2024-10-25 22:12 9.9K 
[   ]py3-proglog-pyc-0.1.10-r2.apk2024-10-25 22:12 9.9K 
[   ]perl-html-tableextract-doc-2.15-r4.apk2024-10-25 22:12 9.9K 
[   ]php81-pecl-uploadprogress-doc-2.0.2-r1.apk2024-10-25 22:12 9.9K 
[   ]emacs-llama-0.3.1_git20240722-r0.apk2024-10-25 22:11 9.9K 
[   ]py3-uptime-3.0.1-r9.apk2024-10-25 22:12 9.9K 
[   ]pcsc-perl-doc-1.4.16-r1.apk2024-10-25 22:12 10K 
[   ]lolcat-1.4-r0.apk2024-10-25 22:11 10K 
[   ]perl-list-binarysearch-0.25-r0.apk2024-10-25 22:12 10K 
[   ]tree-sitter-git-diff-0_git20230730-r0.apk2024-10-25 22:12 10K 
[   ]py3-ovos-audio-plugin-simple-0.0.1-r0.apk2024-10-25 22:12 10K 
[   ]libgrapheme-dev-1-r0.apk2024-10-25 22:11 10K 
[   ]mrsh-dev-0_git20210518-r1.apk2024-10-25 22:11 10K 
[   ]perl-term-ui-0.50-r1.apk2024-10-25 22:12 10K 
[   ]perl-starman-doc-0.4017-r0.apk2024-10-25 22:12 10K 
[   ]py3-thefuzz-0.22.1-r1.apk2024-10-25 22:12 10K 
[   ]libuecc-7-r3.apk2024-10-25 22:11 10K 
[   ]py3-itunespy-1.6-r4.apk2024-10-25 22:12 10K 
[   ]perl-syntax-operator-in-0.10-r0.apk2024-10-25 22:12 10K 
[   ]py3-aiohttp-remotes-1.3.0-r0.apk2024-11-04 13:28 10K 
[   ]thunarx-python-0.5.2-r2.apk2024-10-25 22:12 10K 
[   ]apache2-mod-authnz-external-doc-3.3.3-r0.apk2024-10-25 22:10 10K 
[   ]py3-colorthief-pyc-0.2.1-r1.apk2024-10-25 22:12 10K 
[   ]py3-minidb-2.0.8-r0.apk2024-11-13 19:50 10K 
[   ]opkg-0.7.0-r0.apk2024-10-25 22:12 10K 
[   ]pixi-fish-completion-0.24.2-r0.apk2024-10-25 22:12 10K 
[   ]py3-ovos-stt-plugin-server-0.0.4_alpha4-r1.apk2024-10-25 22:12 10K 
[   ]ansible-bender-doc-0.10.1-r2.apk2024-10-25 22:10 10K 
[   ]knative-client-bash-completion-1.16.1-r1.apk2025-01-19 12:55 10K 
[   ]py3-pygtail-pyc-0.14.0-r3.apk2024-10-25 22:12 10K 
[   ]flowd-doc-0.9.1-r10.apk2024-10-25 22:11 10K 
[   ]fbvnc-0_git20220812-r0.apk2024-10-25 22:11 10K 
[   ]perl-minion-backend-sqlite-5.0.7-r0.apk2024-10-25 22:12 10K 
[   ]msr-tools-1.3-r1.apk2024-10-25 22:11 10K 
[   ]fatrace-0.17.0-r0.apk2024-10-25 22:11 10K 
[   ]nvim-gruvbox-0.0.0_git20221212-r1.apk2024-10-25 22:11 10K 
[   ]noice-0.8-r1.apk2024-10-25 22:11 10K 
[   ]perl-system-command-doc-1.122-r0.apk2024-10-25 22:12 10K 
[   ]py3-pytest-metadata-3.1.1-r0.apk2024-10-25 22:12 10K 
[   ]py3-bottle-session-1.0-r6.apk2024-10-25 22:12 10K 
[   ]nullmailer-doc-2.2-r4.apk2024-10-25 22:11 10K 
[   ]sway-audio-idle-inhibit-0.1.2-r0.apk2024-10-25 22:12 10K 
[   ]uclient-fetch-20210514-r0.apk2024-10-25 22:13 10K 
[   ]py3-glob2-0.7-r6.apk2024-10-25 22:12 10K 
[   ]py3-sstash-pyc-0.17-r9.apk2024-10-25 22:12 10K 
[   ]perl-full-doc-1.004-r0.apk2024-10-25 22:12 10K 
[   ]proot-doc-5.4.0-r1.apk2024-10-25 22:12 10K 
[   ]alttab-doc-1.7.1-r0.apk2024-10-25 22:10 10K 
[   ]nvim-plenary-doc-0.1.4_git20240917-r0.apk2024-11-11 05:07 10K 
[   ]perl-anyevent-riperedis-doc-0.48-r0.apk2024-10-25 22:12 10K 
[   ]ovos-phal-0.2.7-r0.apk2024-11-21 14:32 10K 
[   ]wch-isp-0.4.1-r2.apk2024-10-25 22:13 10K 
[   ]snapper-dev-0.12.0-r0.apk2024-11-18 19:32 10K 
[   ]shntool-doc-3.0.10-r4.apk2024-10-25 22:12 10K 
[   ]py3-iniparse-doc-0.5-r7.apk2024-10-25 22:12 10K 
[   ]libsds-2.0.0-r1.apk2024-10-25 22:11 10K 
[   ]neko-dev-2.3.0-r0.apk2024-11-20 23:26 10K 
[   ]perl-pod-tidy-0.10-r1.apk2024-10-25 22:12 10K 
[   ]libfishsound-1.0.0-r1.apk2024-10-25 22:11 10K 
[   ]py3-cucumber-tag-expressions-pyc-6.1.1-r0.apk2024-12-01 21:13 10K 
[   ]py3-openssh-wrapper-pyc-0.5_git20130425-r4.apk2024-10-25 22:12 10K 
[   ]pixi-zsh-completion-0.24.2-r0.apk2024-10-25 22:12 10K 
[   ]py3-aiohttp-session-2.12.1-r0.apk2024-10-25 22:12 10K 
[   ]perl-math-int64-doc-0.57-r1.apk2024-10-25 22:12 10K 
[   ]emacs-embark-consult-1.0_git20240327-r0.apk2024-10-25 22:11 10K 
[   ]py3-bencode-pyc-4.0.0-r1.apk2024-10-25 22:12 10K 
[   ]nvim-cmp-doc-0.0.0_git20221011-r1.apk2024-10-25 22:11 10K 
[   ]gtk4-layer-shell-demo-1.0.4-r1.apk2024-11-08 08:03 10K 
[   ]perl-minion-backend-redis-0.003-r0.apk2024-10-25 22:12 11K 
[   ]perl-log-fu-0.31-r4.apk2024-10-25 22:12 11K 
[   ]php81-pecl-csv-0.4.2-r0.apk2024-10-25 22:12 11K 
[   ]py3-sphinx-theme-better-0.1.5-r7.apk2024-10-25 22:12 11K 
[   ]perl-digest-crc-0.24-r1.apk2024-10-25 22:12 11K 
[   ]py3-sphinxcontrib-inheritance-0.9.0-r9.apk2024-10-25 22:12 11K 
[   ]perl-pod-tidy-doc-0.10-r1.apk2024-10-25 22:12 11K 
[   ]py3-sphinxcontrib-htsql-0.1.5-r8.apk2024-10-25 22:12 11K 
[   ]ydcv-pyc-0.7-r8.apk2024-10-25 22:13 11K 
[   ]py3-google-trans-new-pyc-1.1.9-r2.apk2024-10-25 22:12 11K 
[   ]php81-bz2-8.1.31-r0.apk2024-11-20 02:22 11K 
[   ]py3-flask-httpauth-pyc-4.8.0-r2.apk2024-10-25 22:12 11K 
[   ]py3-sphinxcontrib-lassodomain-pyc-0.4-r8.apk2024-10-25 22:12 11K 
[   ]py3-rfc3987-pyc-1.3.8-r6.apk2024-10-25 22:12 11K 
[   ]perl-log-message-0.08-r3.apk2024-10-25 22:12 11K 
[   ]ocaml-extlib-doc-1.7.9-r2.apk2024-10-25 22:12 11K 
[   ]py3-py-radix-pyc-0.10.0-r10.apk2024-10-25 22:12 11K 
[   ]py3-businesstime-0.3.0-r9.apk2024-10-25 22:12 11K 
[   ]qstardict-doc-2.0.2-r1.apk2024-11-24 04:04 11K 
[   ]py3-sortedcollections-2.1.0-r5.apk2024-10-25 22:12 11K 
[   ]netsed-1.3-r3.apk2024-10-25 22:11 11K 
[   ]wpa_actiond-1.4-r7.apk2024-10-25 22:13 11K 
[   ]py3-pydes-2.0.1-r5.apk2024-10-25 22:12 11K 
[   ]lomiri-schemas-0.1.6-r0.apk2025-01-03 15:34 11K 
[   ]convert2json-doc-1.1.2-r0.apk2024-11-30 12:15 11K 
[   ]php83-pecl-jsmin-3.0.0-r0.apk2024-10-25 22:12 11K 
[   ]php82-pecl-jsmin-3.0.0-r0.apk2024-10-25 22:12 11K 
[   ]py3-flask-flatpages-0.8.3-r0.apk2024-12-06 23:58 11K 
[   ]py3-phpserialize-pyc-1.3-r8.apk2024-10-25 22:12 11K 
[   ]php81-pecl-jsmin-3.0.0-r0.apk2024-10-25 22:12 11K 
[   ]kirc-0.3.2-r0.apk2024-10-25 22:11 11K 
[   ]py3-riotctrl-pyc-0.5.0-r4.apk2024-10-25 22:12 11K 
[   ]perl-promise-es6-0.28-r0.apk2024-10-25 22:12 11K 
[   ]fff-2.2-r0.apk2024-10-25 22:11 11K 
[   ]tick-1.2.2-r0.apk2025-01-14 02:26 11K 
[   ]dived-doc-1.9.0-r0.apk2024-10-25 22:11 11K 
[   ]cgo-0.6.1-r1.apk2024-10-25 22:11 11K 
[   ]turn-rs-doc-3.3.3-r0.apk2025-01-07 07:28 11K 
[   ]xvkbd-doc-4.1-r2.apk2024-10-25 22:13 11K 
[   ]py3-click-completion-0.5.2-r1.apk2024-10-25 22:12 11K 
[   ]perl-ref-util-xs-0.117-r8.apk2024-10-25 22:12 11K 
[   ]tree-sitter-query-0.4.0-r0.apk2025-01-10 19:47 11K 
[   ]ifuse-1.1.4-r5.apk2024-10-30 23:44 11K 
[   ]linuxptp-phc_ctl-4.4-r0.apk2024-11-18 19:00 11K 
[   ]toapk-1.0-r0.apk2024-10-25 22:12 11K 
[   ]evolution-on-3.24.4-r0.apk2024-10-30 15:24 11K 
[   ]perl-math-random-doc-0.72-r0.apk2024-10-25 22:12 11K 
[   ]perl-netaddr-mac-0.98-r1.apk2024-10-25 22:12 11K 
[   ]hsetroot-1.0.5-r1.apk2024-10-25 22:11 11K 
[   ]perl-math-libm-1.00-r14.apk2024-10-25 22:12 11K 
[   ]tcc-0.9.27_git20241020-r2.apk2025-01-19 12:55 11K 
[   ]perl-uri-db-0.23-r0.apk2025-01-09 06:27 11K 
[   ]mptcpd-dev-0.13-r1.apk2025-01-10 18:18 11K 
[   ]py3-u-msgpack-2.8.0-r2.apk2024-10-25 22:12 11K 
[   ]py3-requests-kerberos-pyc-0.15.0-r0.apk2024-12-01 18:24 11K 
[   ]py3-flask-bootstrap-pyc-3.3.7.1-r8.apk2024-10-25 22:12 11K 
[   ]mkdocs-ivory-0.4.6-r5.apk2024-10-25 22:11 11K 
[   ]py3-rabbit-1.1.0-r8.apk2024-10-25 22:12 11K 
[   ]thumbdrives-0.3.2-r2.apk2024-10-25 22:12 11K 
[   ]py3-dataclasses-serialization-1.3.1-r3.apk2024-10-25 22:12 11K 
[   ]py3-blockchain-1.4.4-r7.apk2024-10-25 22:12 11K 
[   ]enjoy-0.3-r1.apk2024-10-25 22:11 11K 
[   ]perl-getopt-long-descriptive-doc-0.116-r0.apk2024-12-31 10:40 11K 
[   ]screenkey-doc-1.5-r6.apk2024-10-25 22:12 11K 
[   ]xwaylandvideobridge-lang-0.4.0-r1.apk2024-10-25 22:13 11K 
[   ]lomiri-history-service-dev-0.6-r2.apk2024-12-07 13:41 11K 
[   ]perl-glib-object-introspection-doc-0.051-r1.apk2024-10-25 22:12 11K 
[   ]neard-dev-0.19-r0.apk2024-10-25 22:11 11K 
[   ]py3-base58-2.1.1-r2.apk2024-10-25 22:12 11K 
[   ]py3-sphinxcontrib-issuetracker-0.11-r7.apk2024-10-25 22:12 11K 
[   ]pokoy-0.2.5-r0.apk2024-10-25 22:12 11K 
[   ]toss-1.1-r0.apk2024-10-25 22:12 11K 
[   ]libraqm-0.10.2-r0.apk2024-10-25 22:11 11K 
[   ]mint-y-icons-doc-1.8.0-r0.apk2024-12-24 11:09 11K 
[   ]nuzzle-1.6-r0.apk2025-01-17 15:21 11K 
[   ]py3-sphinxcontrib-nwdiag-pyc-2.0.0-r7.apk2024-10-25 22:12 11K 
[   ]py3-pam-2.0.2-r2.apk2024-10-25 22:12 11K 
[   ]py3-flask-paginate-pyc-0.8.1-r6.apk2024-10-25 22:12 11K 
[   ]xlhtml-0.5.1-r0.apk2024-10-25 22:13 11K 
[   ]py3-lsp-mypy-pyc-0.6.8-r1.apk2024-10-25 22:12 11K 
[   ]py3-ovos-phal-plugin-network-manager-pyc-1.3.2-r0.apk2024-11-21 14:32 11K 
[   ]perl-crypt-random-seed-0.03-r0.apk2024-10-25 22:12 11K 
[   ]py3-itemadapter-0.10.0-r0.apk2024-11-30 21:42 11K 
[   ]ocaml-ppx_derivers-dev-1.2.1-r2.apk2024-10-25 22:12 11K 
[   ]octoprint-filecheck-pyc-2024.3.27-r1.apk2024-10-25 22:12 11K 
[   ]py3-vdf-3.4-r1.apk2024-10-25 22:12 11K 
[   ]py3-sphinxcontrib-restbuilder-0.3-r6.apk2024-10-25 22:12 11K 
[   ]lrcalc-dev-2.1-r1.apk2024-10-25 22:11 11K 
[   ]lrcalc-2.1-r1.apk2024-10-25 22:11 11K 
[   ]termbox-1.1.2-r1.apk2024-10-25 22:12 11K 
[   ]py3-ovos-ocp-news-plugin-0.0.4-r0.apk2024-10-25 22:12 11K 
[   ]lychee-doc-0.15.1-r0.apk2024-10-25 22:11 11K 
[   ]perl-test-file-1.994-r0.apk2025-01-12 05:09 11K 
[   ]lizardfs-doc-3.13.0-r14.apk2024-12-03 15:32 11K 
[   ]espeakup-0.90-r2.apk2024-10-25 22:11 11K 
[   ]perl-xml-bare-doc-0.53-r13.apk2024-10-25 22:12 11K 
[   ]lomiri-content-hub-dev-2.0.0-r0.apk2024-10-25 22:11 11K 
[   ]dasht-doc-2.4.0-r0.apk2024-10-25 22:11 11K 
[   ]libecap-dev-1.0.1-r1.apk2024-10-25 22:11 11K 
[   ]perl-devel-confess-0.009004-r0.apk2024-10-25 22:12 11K 
[   ]mktorrent-borg-0.9.9-r1.apk2024-10-25 22:11 11K 
[   ]py3-padacioso-0.2.1-r0.apk2024-10-25 22:12 11K 
[   ]lua5.2-libmodbus-0.6.1-r0.apk2024-10-25 22:11 11K 
[   ]emacs-taxy-0.10.1-r0.apk2024-10-25 22:11 11K 
[   ]py3-halo-0.0.31-r5.apk2024-10-25 22:12 11K 
[   ]py3-x-wr-timezone-2.0.0-r0.apk2024-12-06 23:31 11K 
[   ]py3-pygelbooru-pyc-0.5.0-r4.apk2024-10-25 22:12 11K 
[   ]py3-sphinxcontrib-phpdomain-0.12.0-r0.apk2024-10-25 22:12 11K 
[   ]lua5.1-libmodbus-0.6.1-r0.apk2024-10-25 22:11 11K 
[   ]libcorkipset-tools-1.1.1-r4.apk2024-10-25 22:11 11K 
[   ]lumina-desktop-doc-1.6.2-r0.apk2024-10-25 22:11 12K 
[   ]py3-downloader-cli-0.3.4-r1.apk2024-10-25 22:12 12K 
[   ]restart-services-0.17.0-r0.apk2024-10-25 22:12 12K 
[   ]mtree-portable-doc-0_git20220519-r0.apk2024-10-25 22:11 12K 
[   ]gnome-common-3.18.0-r3.apk2024-10-25 22:11 12K 
[   ]perl-list-binarysearch-doc-0.25-r0.apk2024-10-25 22:12 12K 
[   ]ddgr-doc-2.2-r0.apk2024-10-25 22:11 12K 
[   ]py3-lsp-mypy-0.6.8-r1.apk2024-10-25 22:12 12K 
[   ]py3-protego-pyc-0.3.1-r0.apk2024-11-30 21:18 12K 
[   ]java-jtharness-doc-6.0_p12-r0.apk2024-10-25 22:11 12K 
[   ]spvm-thread-0.002-r0.apk2024-11-25 09:05 12K 
[   ]py3-wgconfig-pyc-1.0.3-r0.apk2024-10-25 22:12 12K 
[   ]autoremove-torrents-doc-1.5.5-r0.apk2024-10-25 22:10 12K 
[   ]belcard-5.3.83-r0.apk2024-10-25 22:10 12K 
[   ]libdng-0.2.1-r0.apk2024-12-27 23:10 12K 
[   ]minidyndns-1.3.0-r3.apk2024-10-25 22:11 12K 
[   ]perl-net-curl-promiser-doc-0.20-r0.apk2024-10-25 22:12 12K 
[   ]py3-bson-0.5.10-r6.apk2024-10-25 22:12 12K 
[   ]py3-virtualenvwrapper-pyc-6.1.0-r1.apk2024-10-25 22:12 12K 
[   ]php81-posix-8.1.31-r0.apk2024-11-20 02:22 12K 
[   ]py3-openapi-codec-pyc-1.3.2-r9.apk2024-10-25 22:12 12K 
[   ]py3-recommonmark-0.7.1-r4.apk2024-10-25 22:12 12K 
[   ]php81-pecl-brotli-0.15.2-r0.apk2024-11-08 21:36 12K 
[   ]psi-notify-1.3.1-r0.apk2024-10-25 22:12 12K 
[   ]py3-simplesoapy-pyc-1.5.1-r7.apk2024-10-25 22:12 12K 
[   ]yamkix-pyc-0.10.0-r1.apk2024-10-25 22:13 12K 
[   ]py3-pymsteams-0.2.3-r1.apk2024-10-25 22:12 12K 
[   ]perl-datetime-timezone-catalog-extend-0.3.3-r0.apk2024-10-25 22:12 12K 
[   ]perl-ryu-async-doc-0.020-r0.apk2024-10-25 22:12 12K 
[   ]py3-crc16-0.1.1-r10.apk2024-10-25 22:12 12K 
[   ]tdrop-0.5.0-r0.apk2024-10-25 22:12 12K 
[   ]nlopt-dev-2.9.1-r0.apk2024-12-28 06:46 12K 
[   ]perl-system-command-1.122-r0.apk2024-10-25 22:12 12K 
[   ]libmygpo-qt-dev-1.1.0-r2.apk2024-10-25 22:11 12K 
[   ]php81-tokenizer-8.1.31-r0.apk2024-11-20 02:22 12K 
[   ]php81-xmlwriter-8.1.31-r0.apk2024-11-20 02:22 12K 
[   ]py3-orderedmultidict-1.0.1-r7.apk2024-10-25 22:12 12K 
[   ]ocaml-calendar-doc-2.04-r4.apk2024-10-25 22:11 12K 
[   ]setroot-2.0.2-r1.apk2024-10-25 22:12 12K 
[   ]perl-test-roo-1.004-r3.apk2024-10-25 22:12 12K 
[   ]py3-ovos-phal-plugin-oauth-0.1.3-r0.apk2024-11-21 14:32 12K 
[   ]ovpncc-0.1_rc1-r0.apk2024-10-25 22:12 12K 
[   ]py3-sphinxcontrib-issuetracker-pyc-0.11-r7.apk2024-10-25 22:12 12K 
[   ]py3-git-versioner-7.1-r1.apk2024-10-25 22:12 12K 
[   ]py3-sphinxcontrib-adadomain-pyc-0.2-r9.apk2024-10-25 22:12 12K 
[   ]perl-promise-me-doc-0.5.0-r0.apk2024-10-25 22:12 12K 
[   ]wlavu-0_git20201101-r1.apk2024-10-25 22:13 12K 
[   ]perl-class-accessor-grouped-0.10014-r2.apk2024-10-25 22:12 12K 
[   ]pqiv-doc-2.12-r1.apk2024-10-25 22:12 12K 
[   ]perl-cli-osprey-doc-0.08-r0.apk2024-12-28 05:54 12K 
[   ]perl-promise-es6-doc-0.28-r0.apk2024-10-25 22:12 12K 
[   ]perl-file-rename-doc-2.02-r0.apk2024-10-25 22:12 12K 
[   ]rofi-blocks-0.1.0-r0.apk2024-10-25 22:12 12K 
[   ]py3-requests-kerberos-0.15.0-r0.apk2024-12-01 18:24 12K 
[   ]tldr-python-client-3.3.0-r0.apk2024-12-01 18:09 12K 
[   ]py3-simber-0.2.6-r4.apk2024-10-25 22:12 12K 
[   ]perl-nice-try-doc-1.3.15-r0.apk2024-11-07 03:16 12K 
[   ]jdebp-redo-doc-1.4-r1.apk2024-10-25 22:11 12K 
[   ]ip2location-dev-8.6.1-r0.apk2024-10-25 22:11 12K 
[   ]perl-datetime-format-flexible-doc-0.37-r0.apk2024-12-31 14:26 12K 
[   ]vim-airline-doc-0.11-r0.apk2024-10-25 22:13 12K 
[   ]perl-log-message-doc-0.08-r3.apk2024-10-25 22:12 12K 
[   ]perl-bytes-random-secure-doc-0.29-r0.apk2024-10-25 22:12 12K 
[   ]py3-rst2ansi-0.1.5-r0.apk2024-10-25 22:12 12K 
[   ]belcard-dev-5.3.83-r0.apk2024-10-25 22:10 12K 
[   ]ptylie-0.2-r1.apk2024-10-25 22:12 12K 
[   ]py3-sphinxcontrib-doxylink-1.12.3-r0.apk2024-12-06 23:58 12K 
[   ]legume-doc-1.4.2-r5.apk2025-01-19 12:55 12K 
[   ]py3-padacioso-pyc-0.2.1-r0.apk2024-10-25 22:12 12K 
[   ]tanidvr-dhav2mkv-1.4.1-r1.apk2024-10-25 22:12 12K 
[   ]ocaml-mirage-time-3.0.0-r4.apk2024-10-25 22:12 12K 
[   ]ocaml-bigarray-compat-dev-1.1.0-r2.apk2024-10-25 22:11 12K 
[   ]rgxg-doc-0.1.2-r2.apk2024-10-25 22:12 12K 
[   ]py3-janus-1.2.0-r0.apk2024-12-13 05:57 12K 
[   ]termbox-static-1.1.2-r1.apk2024-10-25 22:12 12K 
[   ]git-quick-stats-2.5.8-r0.apk2024-10-25 22:11 12K 
[   ]perl-daemon-control-0.001010-r2.apk2024-10-25 22:12 12K 
[   ]py3-aiohttp-jinja2-1.6-r2.apk2024-10-25 22:12 12K 
[   ]perl-anyevent-riperedis-0.48-r0.apk2024-10-25 22:12 12K 
[   ]perl-xml-feed-doc-0.65-r0.apk2024-10-25 22:12 12K 
[   ]ocaml-mirage-net-4.0.0-r3.apk2024-10-25 22:12 12K 
[   ]py3-rfc-bibtex-pyc-0.3.2-r7.apk2024-10-25 22:12 12K 
[   ]py3-cobs-pyc-1.2.0-r4.apk2024-10-25 22:12 12K 
[   ]mediastreamer2-plugin-openh264-5.2.0_git20231020-r0.apk2024-10-25 22:11 12K 
[   ]py3-venusian-pyc-3.1.1-r0.apk2024-12-07 22:14 12K 
[   ]m2r2-0.3.3-r3.apk2024-10-25 22:11 13K 
[   ]py3-itemloaders-1.3.2-r0.apk2024-10-25 22:12 13K 
[   ]xosview-doc-1.24-r0.apk2024-10-25 22:13 13K 
[   ]ocaml-bigarray-compat-1.1.0-r2.apk2024-10-25 22:11 13K 
[   ]perl-barcode-zbar-doc-0.10-r3.apk2024-10-25 22:12 13K 
[   ]py3-libiio-0.25-r2.apk2024-10-25 22:12 13K 
[   ]nvimpager-0.12.0-r0.apk2024-10-25 22:11 13K 
[   ]perl-json-path-doc-1.0.6-r0.apk2024-10-25 22:12 13K 
[   ]geonames-doc-0.3.1-r2.apk2024-10-25 22:11 13K 
[   ]clatd-1.6-r0.apk2024-10-25 22:11 13K 
[   ]rtptools-doc-1.22-r2.apk2024-10-25 22:12 13K 
[   ]perl-list-binarysearch-xs-0.09-r1.apk2024-10-25 22:12 13K 
[   ]php81-pecl-xhprof-2.3.10-r0.apk2024-10-25 22:12 13K 
[   ]py3-itemadapter-pyc-0.10.0-r0.apk2024-11-30 21:42 13K 
[   ]kubesplit-0.3.3-r1.apk2024-10-25 22:11 13K 
[   ]php81-pdo_dblib-8.1.31-r0.apk2024-11-20 02:22 13K 
[   ]py3-hatch-openzim-bootstrap-pyc-0.1.0-r0.apk2024-10-25 22:12 13K 
[   ]saait-doc-0.8-r0.apk2024-10-25 22:12 13K 
[   ]py3-wtf-peewee-3.0.6-r0.apk2024-10-25 22:12 13K 
[   ]py3-pygfm-2.0.0-r2.apk2024-10-25 22:12 13K 
[   ]py3-flake8-builtins-2.5.0-r0.apk2024-12-07 22:51 13K 
[   ]dooit-extras-0.2.0-r0.apk2024-12-07 21:23 13K 
[   ]perl-cli-osprey-0.08-r0.apk2024-12-28 05:54 13K 
[   ]php81-pecl-opentelemetry-1.1.0-r0.apk2024-10-25 22:12 13K 
[   ]perl-gtk2-ex-listmodelconcat-11-r4.apk2024-10-25 22:12 13K 
[   ]py3-queuelib-1.7.0-r0.apk2024-10-25 22:12 13K 
[   ]py3-flask-cache-0.13.1-r9.apk2024-10-25 22:12 13K 
[   ]py3-bitstruct-pyc-8.19.0-r1.apk2024-10-25 22:12 13K 
[   ]glmark2-doc-2023.01-r1.apk2024-10-25 22:11 13K 
[   ]darts-clone-dev-0_git20181117-r0.apk2024-10-25 22:11 13K 
[   ]php81-calendar-8.1.31-r0.apk2024-11-20 02:22 13K 
[   ]octoprint-pisupport-pyc-2023.10.10-r1.apk2024-10-25 22:12 13K 
[   ]mint-themes-doc-2.1.1-r0.apk2024-10-25 22:11 13K 
[   ]py3-incoming-0.3.1-r8.apk2024-10-25 22:12 13K 
[   ]imapfilter-doc-2.8.2-r0.apk2024-10-25 22:11 13K 
[   ]utop-emacs-2.9.1-r4.apk2024-10-25 22:13 13K 
[   ]libcyaml-dev-1.4.2-r0.apk2024-10-25 22:11 13K 
[   ]py3-rfc-bibtex-0.3.2-r7.apk2024-10-25 22:12 13K 
[   ]freecad-doc-1.0.0-r1.apk2024-12-18 13:06 13K 
[   ]planarity-doc-3.0.2.0-r2.apk2024-10-25 22:12 13K 
[   ]crun-vm-doc-0.3.0-r0.apk2024-11-12 12:35 13K 
[   ]fungw-doc-1.2.1-r0.apk2024-12-30 10:48 13K 
[   ]catfish-doc-4.20.0-r0.apk2024-12-27 11:36 13K 
[   ]perl-email-abstract-doc-3.010-r0.apk2024-10-25 22:12 13K 
[   ]py3-deluge-client-1.10.2-r0.apk2024-10-25 22:12 13K 
[   ]ssss-0.5.7-r0.apk2024-10-25 22:12 13K 
[   ]devil-dev-1.8.0-r0.apk2024-10-25 22:11 13K 
[   ]plfit-1.0.1-r0.apk2025-01-04 04:47 13K 
[   ]py3-glob2-pyc-0.7-r6.apk2024-10-25 22:12 13K 
[   ]tree-sitter-git-commit-0_git20211225-r2.apk2024-10-25 22:12 13K 
[   ]time-1.9-r1.apk2024-10-25 22:12 13K 
[   ]dislocker-0.7.3-r5.apk2024-10-25 22:11 13K 
[   ]py3-telegram-text-pyc-0.2.0-r1.apk2024-10-25 22:12 13K 
[   ]kubesplit-pyc-0.3.3-r1.apk2024-10-25 22:11 13K 
[   ]speedtest-examples-5.2.5-r1.apk2024-10-25 22:12 13K 
[   ]py3-pam-pyc-2.0.2-r2.apk2024-10-25 22:12 13K 
[   ]libibmad-dev-1.3.13-r2.apk2024-10-25 22:11 13K 
[   ]py3-hatch-openzim-pyc-0.2.0-r0.apk2024-10-25 22:12 13K 
[   ]makeself-2.5.0-r0.apk2024-10-25 22:11 13K 
[   ]py3-wifi-0.3.8-r7.apk2024-10-25 22:12 13K 
[   ]qt-wayland-shell-helpers-0.1.1-r3.apk2024-10-25 22:12 13K 
[   ]rustic-zsh-completion-0.9.3-r0.apk2024-10-25 22:12 13K 
[   ]py3-pdal-pyc-3.4.5-r0.apk2024-11-05 22:54 13K 
[   ]py3-riotctrl-0.5.0-r4.apk2024-10-25 22:12 13K 
[   ]libhwpwm-doc-0.4.4-r0.apk2024-10-25 22:11 13K 
[   ]py3-keepalive-pyc-0.5-r5.apk2024-10-25 22:12 13K 
[   ]py3-discid-pyc-1.2.0-r6.apk2024-10-25 22:12 13K 
[   ]sigrok-firmware-fx2lafw-bin-0.1.7-r0.apk2024-10-25 22:12 13K 
[   ]mm-dev-1.4.2-r1.apk2024-10-25 22:11 13K 
[   ]py3-flask-migrate-4.0.7-r0.apk2024-10-25 22:12 13K 
[   ]perl-algorithm-permute-0.17-r0.apk2024-10-25 22:12 13K 
[   ]apt-dater-lang-1.0.4-r4.apk2024-10-25 22:10 13K 
[   ]mautrix-discord-doc-0.7.2-r1.apk2025-01-19 12:55 13K 
[   ]py3-phx-class-registry-5.0.0-r0.apk2024-10-25 22:12 13K 
[   ]mautrix-bluesky-doc-0.1.0-r1.apk2025-01-19 12:55 13K 
[   ]fungw-tcl-1.2.1-r0.apk2024-12-30 10:48 13K 
[   ]mautrix-twitter-doc-0.2.1-r1.apk2025-01-19 12:55 13K 
[   ]lsdvd-0.17-r0.apk2024-10-25 22:11 13K 
[   ]py3-pydes-pyc-2.0.1-r5.apk2024-10-25 22:12 13K 
[   ]mbpfan-2.4.0-r1.apk2024-10-25 22:11 13K 
[   ]py3-pep8-naming-pyc-0.14.1-r0.apk2024-10-25 22:12 13K 
[   ]py3-pygfm-pyc-2.0.0-r2.apk2024-10-25 22:12 13K 
[   ]barrier-doc-2.4.0-r1.apk2024-10-25 22:10 13K 
[   ]sqlar-0_git20180107-r1.apk2024-10-25 22:12 13K 
[   ]fungw-1.2.1-r0.apk2024-12-30 10:48 13K 
[   ]biometryd-dev-0.3.1-r6.apk2024-11-12 20:15 13K 
[   ]apk-autoupdate-0_git20210421-r1.apk2024-11-18 19:00 13K 
[   ]startup-tools-2.0.3-r5.apk2024-10-25 22:12 13K 
[   ]ddserver-0_git20200930-r1.apk2024-10-25 22:11 13K 
[   ]py3-janus-pyc-1.2.0-r0.apk2024-12-13 05:57 13K 
[   ]py3-asif-0.3.2-r3.apk2024-10-25 22:12 13K 
[   ]libiio-dev-0.25-r2.apk2024-10-25 22:11 13K 
[   ]coreboot-tools-intelmetool-24.08-r1.apk2024-11-27 21:28 13K 
[   ]mpdcron-doc-0.3-r1.apk2024-10-25 22:11 13K 
[   ]py3-wg-netns-pyc-2.3.1-r1.apk2024-10-25 22:12 13K 
[   ]lottieconverter-0.2_git20231219-r0.apk2024-10-25 22:11 13K 
[   ]perl-starman-0.4017-r0.apk2024-10-25 22:12 13K 
[   ]php81-pdo_odbc-8.1.31-r0.apk2024-11-20 02:22 13K 
[   ]perl-net-jabber-bot-2.1.7-r0.apk2024-10-25 22:12 13K 
[   ]ocaml-randomconv-0.1.3-r2.apk2024-10-25 22:12 13K 
[   ]xfd-1.1.4-r0.apk2024-10-25 22:13 14K 
[   ]ff2mpv-rust-doc-1.1.5-r0.apk2025-01-04 23:31 14K 
[   ]py3-git-versioner-pyc-7.1-r1.apk2024-10-25 22:12 14K 
[   ]pipeline-doc-2.1.0-r0.apk2024-12-07 04:50 14K 
[   ]uclient-20210514-r0.apk2024-10-25 22:13 14K 
[   ]ocaml-hkdf-1.0.4-r2.apk2024-10-25 22:12 14K 
[   ]py3-unidns-0.0.1-r2.apk2024-10-25 22:12 14K 
[   ]seaweedfs-doc-3.80-r1.apk2025-01-19 12:55 14K 
[   ]afetch-doc-2.2.0-r1.apk2024-10-25 22:10 14K 
[   ]hikari-doc-2.3.3-r6.apk2024-10-25 22:11 14K 
[   ]py3-spidev-3.6-r1.apk2024-10-25 22:12 14K 
[   ]py3-telegram-0.18.0-r3.apk2024-10-25 22:12 14K 
[   ]xed-dev-3.8.1-r0.apk2024-12-24 07:13 14K 
[   ]mpdris2-rs-doc-0.2.3-r0.apk2024-10-25 22:11 14K 
[   ]py3-linux-procfs-0.7.3-r0.apk2025-01-13 22:19 14K 
[   ]py3-bite-parser-0.2.5-r0.apk2024-10-28 22:51 14K 
[   ]py3-flask-flatpages-pyc-0.8.3-r0.apk2024-12-06 23:58 14K 
[   ]perl-cpan-changes-0.500004-r0.apk2024-10-25 22:12 14K 
[   ]peg-doc-0.1.18-r1.apk2024-10-25 22:12 14K 
[   ]catcodec-1.0.5-r2.apk2024-10-25 22:11 14K 
[   ]libecap-1.0.1-r1.apk2024-10-25 22:11 14K 
[   ]tree-sitter-just-0_git20230318-r0.apk2024-10-25 22:12 14K 
[   ]wabt-doc-1.0.36-r0.apk2024-10-25 22:13 14K 
[   ]mcman-doc-0.4.5-r0.apk2024-10-25 22:11 14K 
[   ]steghide-doc-0.5.1.1-r0.apk2024-10-25 22:12 14K 
[   ]ocaml-libvirt-doc-0.6.1.7-r0.apk2024-10-25 22:12 14K 
[   ]py3-wifi-pyc-0.3.8-r7.apk2024-10-25 22:12 14K 
[   ]aoetools-doc-37-r2.apk2025-01-14 17:36 14K 
[   ]perl-ev-hiredis-0.07-r1.apk2024-10-25 22:12 14K 
[   ]aide-doc-0.18.8-r0.apk2024-10-25 22:10 14K 
[   ]mptcpize-dbg-0.13-r1.apk2025-01-10 18:18 14K 
[   ]libmdf-dev-1.0.29-r0.apk2024-10-25 22:11 14K 
[   ]luksmeta-9-r0.apk2024-10-25 22:11 14K 
[   ]faultstat-0.01.11-r0.apk2024-10-25 22:11 14K 
[   ]perl-net-netmask-2.0002-r2.apk2024-10-25 22:12 14K 
[   ]tuptime-5.2.4-r1.apk2024-11-28 23:44 14K 
[   ]php81-xsl-8.1.31-r0.apk2024-11-20 02:22 14K 
[   ]perl-xml-feed-0.65-r0.apk2024-10-25 22:12 14K 
[   ]tmux-resurrect-4.0.0-r0.apk2024-10-25 22:12 14K 
[   ]par-1.53.0-r1.apk2024-10-25 22:12 14K 
[   ]mcqd-1.0.0-r1.apk2024-10-25 22:11 14K 
[   ]php81-pdo_sqlite-8.1.31-r0.apk2024-11-20 02:22 14K 
[   ]py3-sortedcollections-pyc-2.1.0-r5.apk2024-10-25 22:12 14K 
[   ]ocaml-seq-0.3.1-r2.apk2024-10-25 22:12 14K 
[   ]py3-pprintpp-0.4.0-r1.apk2024-10-25 22:12 14K 
[   ]perl-cairo-doc-1.109-r4.apk2024-10-25 22:12 14K 
[   ]php81-pdo_mysql-8.1.31-r0.apk2024-11-20 02:22 14K 
[   ]py3-halo-pyc-0.0.31-r5.apk2024-10-25 22:12 14K 
[   ]php81-xmlreader-8.1.31-r0.apk2024-11-20 02:22 14K 
[   ]perl-database-async-engine-postgresql-1.005-r0.apk2024-10-25 22:12 14K 
[   ]vmtouch-1.3.1-r0.apk2024-10-25 22:13 14K 
[   ]perl-graphql-client-doc-0.605-r0.apk2024-10-25 22:12 14K 
[   ]tere-doc-1.6.0-r0.apk2024-10-25 22:12 14K 
[   ]perl-snmp-doc-5.0404-r13.apk2024-10-25 22:12 14K 
[   ]amdgpu-fan-0.1.0-r5.apk2024-10-25 22:10 14K 
[   ]wmctrl-1.07-r1.apk2024-10-25 22:13 14K 
[   ]autotrash-pyc-0.4.7-r0.apk2024-10-25 22:10 14K 
[   ]qdjango-dev-0.6.2-r1.apk2024-10-25 22:12 14K 
[   ]gammastep-doc-2.0.9-r3.apk2024-10-25 22:11 14K 
[   ]libcorkipset-1.1.1-r4.apk2024-10-25 22:11 14K 
[   ]ledmon-doc-1.0.0-r0.apk2024-10-25 22:11 14K 
[   ]qmk-cli-1.1.6-r0.apk2024-11-01 00:17 14K 
[   ]cddlib-dev-0.94m-r2.apk2024-10-25 22:11 14K 
[   ]wshowkeys-1.0-r0.apk2024-10-25 22:13 14K 
[   ]py3-pyscreeze-0.1.29-r3.apk2024-10-25 22:12 14K 
[   ]rtmidi-dev-6.0.0-r0.apk2024-10-25 22:12 14K 
[   ]subdl-pyc-0_git20230616-r1.apk2024-10-25 22:12 14K 
[   ]slurm-0.4.4-r0.apk2024-10-25 22:12 14K 
[   ]py3-euclid3-0.01-r8.apk2024-10-25 22:12 14K 
[   ]php81-pecl-zstd-0.14.0-r0.apk2024-11-06 15:44 14K 
[   ]gnome-user-share-47.0-r0.apk2024-10-25 22:11 14K 
[   ]tldr-python-client-pyc-3.3.0-r0.apk2024-12-01 18:09 14K 
[   ]php81-tideways_xhprof-5.0.4-r1.apk2024-10-25 22:12 14K 
[   ]py3-firmata-1.0.3-r10.apk2024-10-25 22:12 14K 
[   ]py3-sphinx-argparse-0.5.2-r0.apk2024-10-25 22:12 14K 
[   ]py3-pyscreeze-pyc-0.1.29-r3.apk2024-10-25 22:12 14K 
[   ]mspdebug-doc-0.25-r1.apk2024-10-25 22:11 14K 
[   ]watchdog-doc-5.16-r2.apk2024-10-25 22:13 14K 
[   ]py3-typing_inspect-pyc-0.9.0-r2.apk2024-10-25 22:12 14K 
[   ]yamkix-0.10.0-r1.apk2024-10-25 22:13 14K 
[   ]dasht-2.4.0-r0.apk2024-10-25 22:11 14K 
[   ]py3-click-completion-pyc-0.5.2-r1.apk2024-10-25 22:12 14K 
[   ]py3-downloader-cli-pyc-0.3.4-r1.apk2024-10-25 22:12 14K 
[   ]mp3val-0.1.8-r1.apk2024-10-25 22:11 14K 
[   ]py3-dataclasses-serialization-pyc-1.3.1-r3.apk2024-10-25 22:12 14K 
[   ]perl-syntax-keyword-match-0.15-r0.apk2024-10-25 22:12 14K 
[   ]sandbar-0.1-r0.apk2024-10-25 22:12 14K 
[   ]libzvbi-dev-0.2.43-r0.apk2024-12-07 21:31 14K 
[   ]php81-pcntl-8.1.31-r0.apk2024-11-20 02:22 14K 
[   ]mm-doc-1.4.2-r1.apk2024-10-25 22:11 14K 
[   ]py3-us-3.2.0-r0.apk2024-10-25 22:12 14K 
[   ]perl-bytes-random-secure-0.29-r0.apk2024-10-25 22:12 14K 
[   ]aprilsh-doc-0.7.12-r1.apk2025-01-19 12:55 14K 
[   ]sqawk-0.24.0-r0.apk2024-10-25 22:12 14K 
[   ]py3-venusian-3.1.1-r0.apk2024-12-07 22:14 14K 
[   ]mdnsd-doc-0.12-r1.apk2024-10-25 22:11 14K 
[   ]emacs-closql-1.2.1_git20240712-r0.apk2024-10-25 22:11 14K 
[   ]listenbrainz-mpd-doc-2.3.8-r0.apk2024-10-25 22:11 14K 
[   ]mangr0ve-doc-0.1.2-r0.apk2024-10-25 22:11 14K 
[   ]gmid-doc-2.1.1-r0.apk2024-11-27 20:26 14K 
[   ]fzy-1.0-r3.apk2024-10-25 22:11 14K 
[   ]rinetd-0.73-r0.apk2024-10-25 22:12 14K 
[   ]py3-createrepo_c-pyc-1.1.4-r0.apk2024-10-25 22:12 15K 
[   ]py3-ovos-phal-plugin-system-1.0.1-r0.apk2024-11-21 14:32 15K 
[   ]throttled-0.10.0-r1.apk2024-12-15 20:26 15K 
[   ]mkg3a-0.5.0-r1.apk2024-10-25 22:11 15K 
[   ]hx-1.0.15-r0.apk2024-10-25 22:11 15K 
[   ]perl-test-files-doc-0.26-r0.apk2024-10-25 22:12 15K 
[   ]perl-test-modern-0.013-r3.apk2024-10-25 22:12 15K 
[   ]emacs-fossil-0_git20230504-r0.apk2024-10-25 22:11 15K 
[   ]perl-getopt-long-descriptive-0.116-r0.apk2024-12-31 10:40 15K 
[   ]ideviceinstaller-1.1.1-r4.apk2024-10-30 23:44 15K 
[   ]py3-django-compress-staticfiles-1.0.1_beta0-r6.apk2024-10-25 22:12 15K 
[   ]fungw-lua-1.2.1-r0.apk2024-12-30 10:48 15K 
[   ]py3-fuzzywuzzy-pyc-0.18.0-r7.apk2024-10-25 22:12 15K 
[   ]php81-pecl-mcrypt-1.0.7-r0.apk2024-10-25 22:12 15K 
[   ]perl-dbix-connector-0.60-r0.apk2024-12-30 07:37 15K 
[   ]cargo-flamegraph-doc-0.6.7-r0.apk2025-01-13 12:49 15K 
[   ]git-secret-0.5.0-r0.apk2024-10-25 22:11 15K 
[   ]py3-urlobject-2.4.3-r9.apk2024-10-25 22:12 15K 
[   ]py3-itunespy-pyc-1.6-r4.apk2024-10-25 22:12 15K 
[   ]rgxg-0.1.2-r2.apk2024-10-25 22:12 15K 
[   ]py3-pockethernet-0.7.0-r4.apk2024-10-25 22:12 15K 
[   ]py3-aiohttp-session-pyc-2.12.1-r0.apk2024-10-25 22:12 15K 
[   ]perl-dancer-plugin-auth-extensible-doc-1.00-r5.apk2024-10-25 22:12 15K 
[   ]virter-doc-0.28.1-r1.apk2025-01-19 12:55 15K 
[   ]commoncpp-doc-7.0.1-r1.apk2024-10-25 22:11 15K 
[   ]perl-number-tolerant-1.710-r0.apk2024-10-25 22:12 15K 
[   ]py3-pybars3-0.9.7-r6.apk2024-10-25 22:12 15K 
[   ]mpdris2-doc-0.9.1-r3.apk2024-10-25 22:11 15K 
[   ]sopwith-doc-2.5.0-r0.apk2024-10-25 22:12 15K 
[   ]belr-dev-5.3.83-r0.apk2024-10-25 22:10 15K 
[   ]py3-django-compress-staticfiles-pyc-1.0.1_beta0-r6.apk2024-10-25 22:12 15K 
[   ]php83-pecl-vld-0.18.0-r1.apk2024-10-25 22:12 15K 
[   ]logtop-0.7-r0.apk2024-10-25 22:11 15K 
[   ]py3-colored-2.2.4-r0.apk2024-12-13 20:44 15K 
[   ]libibumad-1.3.10.2-r3.apk2024-10-25 22:11 15K 
[   ]py3-pygtail-0.14.0-r3.apk2024-10-25 22:12 15K 
[   ]vile-doc-9.8z-r0.apk2024-10-25 22:13 15K 
[   ]harminv-libs-1.4.2-r1.apk2024-10-25 22:11 15K 
[   ]cmusfm-0.5.0-r0.apk2024-10-25 22:11 15K 
[   ]time-doc-1.9-r1.apk2024-10-25 22:12 15K 
[   ]perl-datetime-timezone-catalog-extend-doc-0.3.3-r0.apk2024-10-25 22:12 15K 
[   ]py3-sphinxcontrib-htsql-pyc-0.1.5-r8.apk2024-10-25 22:12 15K 
[   ]perl-glib-ex-objectbits-17-r0.apk2024-10-25 22:12 15K 
[   ]aptdec-libs-1.8.0-r0.apk2024-10-25 22:10 15K 
[   ]libbraiding-dev-1.3.1-r0.apk2024-11-18 19:00 15K 
[   ]py3-sphinxcontrib-inheritance-pyc-0.9.0-r9.apk2024-10-25 22:12 15K 
[   ]py3-snapshottest-0.6.0-r5.apk2024-10-25 22:12 15K 
[   ]py3-jaraco.vcs-pyc-2.4.0-r0.apk2024-10-25 22:12 15K 
[   ]py3-intervals-pyc-0.9.2-r5.apk2024-10-25 22:12 15K 
[   ]mpdris2-0.9.1-r3.apk2024-10-25 22:11 15K 
[   ]py3-us-pyc-3.2.0-r0.apk2024-10-25 22:12 15K 
[   ]fatresize-doc-1.1.0-r1.apk2024-10-25 22:11 15K 
[   ]irctk-transport-fossil-1.1.0-r0.apk2024-10-25 22:11 15K 
[   ]kerberoast-pyc-0.2.0-r1.apk2024-10-25 22:11 15K 
[   ]gtk4-layer-shell-1.0.4-r1.apk2024-11-08 08:03 15K 
[   ]chasquid-doc-1.15.0-r0.apk2025-01-19 12:55 15K 
[   ]xa-doc-2.3.14-r0.apk2024-10-25 22:13 15K 
[   ]perl-number-format-1.76-r1.apk2024-10-25 22:12 15K 
[   ]perl-dns-unbound-doc-0.29-r1.apk2024-10-25 22:12 15K 
[   ]libvisio2svg-0.5.5-r3.apk2024-10-25 22:11 15K 
[   ]blip-0.10-r0.apk2024-10-25 22:11 15K 
[   ]perl-dancer-plugin-auth-extensible-1.00-r5.apk2024-10-25 22:12 15K 
[   ]py3-sphinxcontrib-spelling-8.0.0-r3.apk2024-10-25 22:12 15K 
[   ]perl-list-keywords-0.11-r0.apk2024-10-25 22:12 15K 
[   ]py3-flake8-import-order-0.18.2-r4.apk2024-10-25 22:12 15K 
[   ]py3-teletype-1.3.4-r3.apk2024-10-25 22:12 15K 
[   ]gssdp-dev-1.6.3-r0.apk2024-12-01 13:58 15K 
[   ]perl-test-roo-doc-1.004-r3.apk2024-10-25 22:12 15K 
[   ]py3-googletrans-3.0.0-r5.apk2024-10-25 22:12 15K 
[   ]py3-rabbit-pyc-1.1.0-r8.apk2024-10-25 22:12 15K 
[   ]emacs-hackernews-0.7.0-r0.apk2024-10-25 22:11 16K 
[   ]geomyidae-0.34-r2.apk2024-10-25 22:11 16K 
[   ]py3-ciso8601-2.3.1-r1.apk2024-10-25 22:12 16K 
[   ]m2r2-pyc-0.3.3-r3.apk2024-10-25 22:11 16K 
[   ]py3-lib_users-0.15-r4.apk2024-10-25 22:12 16K 
[   ]py3-zope-i18nmessageid-6.1.0-r2.apk2024-10-25 22:12 16K 
[   ]perl-future-http-doc-0.17-r0.apk2024-10-25 22:12 16K 
[   ]linuxptp-timemaster-4.4-r0.apk2024-11-18 19:00 16K 
[   ]epr-2.4.15-r1.apk2024-10-25 22:11 16K 
[   ]blackbox-1.20220610-r1.apk2024-10-25 22:11 16K 
[   ]perl-archive-extract-0.88-r1.apk2024-10-25 22:12 16K 
[   ]readosm-1.1.0-r2.apk2024-10-25 22:12 16K 
[   ]ocaml-trie-1.0.0-r2.apk2024-10-25 22:12 16K 
[   ]spvm-mime-base64-1.002-r0.apk2025-01-15 19:23 16K 
[   ]zfsbootmenu-doc-2.3.0-r1.apk2024-10-25 22:13 16K 
[   ]ampy-1.1.0-r5.apk2024-10-25 22:10 16K 
[   ]ocaml-fileutils-doc-0.6.4-r2.apk2024-10-25 22:12 16K 
[   ]zile-doc-2.6.2-r1.apk2024-10-25 22:13 16K 
[   ]tang-15-r0.apk2025-01-20 05:17 16K 
[   ]py3-pytest-regtest-2.3.2-r0.apk2024-11-14 00:09 16K 
[   ]please-doc-0.5.5-r0.apk2024-10-25 22:12 16K 
[   ]sacc-1.07-r0.apk2024-10-25 22:12 16K 
[   ]visidata-doc-2.11.1-r2.apk2024-10-25 22:13 16K 
[   ]xcompmgr-1.1.9-r0.apk2024-10-25 22:13 16K 
[   ]perl-xml-atom-doc-0.43-r0.apk2024-10-25 22:12 16K 
[   ]nkk-0_git20221010-r0.apk2024-10-25 22:11 16K 
[   ]py3-rpio-pyc-0.10.1-r8.apk2024-10-25 22:12 16K 
[   ]py3-testresources-pyc-2.0.1-r6.apk2024-10-25 22:12 16K 
[   ]perl-mojo-sqlite-3.009-r0.apk2024-10-25 22:12 16K 
[   ]coccinelle-doc-1.1.1-r2.apk2024-10-25 22:11 16K 
[   ]aravis-viewer-lang-0.8.31-r0.apk2024-10-25 22:10 16K 
[   ]hexdiff-0.0.53-r2.apk2024-10-25 22:11 16K 
[   ]dropwatch-1.5.4-r6.apk2024-10-25 22:11 16K 
[   ]wok-lang-3.0.0-r6.apk2024-10-25 22:13 16K 
[   ]zsh-fzf-tab-0_git20220331-r1.apk2024-10-25 22:13 16K 
[   ]b2sum-20190729-r2.apk2024-10-25 22:10 16K 
[   ]opensmtpd-filter-dkimsign-0.6-r1.apk2024-10-25 22:12 16K 
[   ]fatback-doc-1.3-r2.apk2024-10-25 22:11 16K 
[   ]predict-doc-2.3.1-r0.apk2024-11-23 20:05 16K 
[   ]logtop-libs-0.7-r0.apk2024-10-25 22:11 16K 
[   ]ubuntu-archive-keyring-2023.11.28.1-r0.apk2024-10-25 22:13 16K 
[   ]perl-json-path-1.0.6-r0.apk2024-10-25 22:12 16K 
[   ]pegasus-frontend-doc-16_alpha-r0.apk2024-10-25 22:12 16K 
[   ]libsimpleble-c-0.6.1-r2.apk2024-12-14 20:46 16K 
[   ]py3-u-msgpack-pyc-2.8.0-r2.apk2024-10-25 22:12 16K 
[   ]xmppipe-0.16.0-r1.apk2024-10-25 22:13 16K 
[   ]py3-pprintpp-pyc-0.4.0-r1.apk2024-10-25 22:12 16K 
[   ]irctk-doc-1.1.0-r0.apk2024-10-25 22:11 16K 
[   ]paperkey-1.6-r2.apk2024-10-25 22:12 16K 
[   ]libhomfly-1.02_p6-r1.apk2024-10-25 22:11 16K 
[   ]py3-barcodenumber-0.2.1-r10.apk2024-10-25 22:12 16K 
[   ]py3-businesstime-pyc-0.3.0-r9.apk2024-10-25 22:12 16K 
[   ]perl-git-repository-1.325-r0.apk2024-10-25 22:12 16K 
[   ]py3-sphinxcontrib-doxylink-pyc-1.12.3-r0.apk2024-12-06 23:58 16K 
[   ]py3-simber-pyc-0.2.6-r4.apk2024-10-25 22:12 16K 
[   ]shc-4.0.3-r2.apk2024-10-25 22:12 16K 
[   ]rinetd-doc-0.73-r0.apk2024-10-25 22:12 16K 
[   ]py3-aiosasl-doc-0.5.0-r4.apk2024-10-25 22:12 16K 
[   ]py3-flask-mailman-1.1.1-r0.apk2024-10-25 22:12 16K 
[   ]py3-sphinxcontrib-programoutput-0.17-r5.apk2024-10-25 22:12 16K 
[   ]py3-ppk2-api-0.9.2-r0.apk2024-11-30 22:09 16K 
[   ]wf-config-dev-0.8.0-r1.apk2024-10-25 22:13 16K 
[   ]py3-imageio-ffmpeg-0.4.9-r1.apk2024-10-25 22:12 16K 
[   ]gkrellm-dev-2.3.11-r0.apk2025-01-08 23:36 17K 
[   ]py3-ppk2-api-pyc-0.9.2-r0.apk2024-11-30 22:09 17K 
[   ]hyfetch-doc-1.99.0-r1.apk2024-10-25 22:11 17K 
[   ]fungw-mujs-1.2.1-r0.apk2024-12-30 10:48 17K 
[   ]perl-sql-abstract-more-doc-1.43-r0.apk2024-12-30 07:37 17K 
[   ]startup-lang-2.0.3-r5.apk2024-10-25 22:12 17K 
[   ]py3-vdf-pyc-3.4-r1.apk2024-10-25 22:12 17K 
[   ]py3-itemloaders-pyc-1.3.2-r0.apk2024-10-25 22:12 17K 
[   ]py3-editdistance-s-1.0.0-r6.apk2024-10-25 22:12 17K 
[   ]py3-pylru-1.2.1-r1.apk2024-10-25 22:12 17K 
[   ]git-bug-doc-0.8.0-r15.apk2025-01-19 12:55 17K 
[   ]py3-lzo-1.16-r1.apk2024-10-25 22:12 17K 
[   ]py3-funcparserlib-1.0.1-r4.apk2024-10-25 22:12 17K 
[   ]php82-pecl-vld-0.18.0-r0.apk2024-10-25 22:12 17K 
[   ]logc-argp-0.5.0-r0.apk2024-10-25 22:11 17K 
[   ]llmnrd-0.7-r1.apk2024-10-25 22:11 17K 
[   ]rustic-fish-completion-0.9.3-r0.apk2024-10-25 22:12 17K 
[   ]py3-wsgiprox-1.5.2-r1.apk2024-10-25 22:12 17K 
[   ]perl-indirect-0.39-r1.apk2024-10-25 22:12 17K 
[   ]hping3-doc-20051105-r4.apk2024-10-25 22:11 17K 
[   ]junit2html-31.0.2-r0.apk2024-10-25 22:11 17K 
[   ]perl-getopt-tabular-doc-0.3-r4.apk2024-10-25 22:12 17K 
[   ]py3-pymeta3-0.5.1-r6.apk2024-10-25 22:12 17K 
[   ]perl-adapter-async-doc-0.019-r0.apk2024-10-25 22:12 17K 
[   ]xmag-1.0.8-r0.apk2024-10-25 22:13 17K 
[   ]py3-flake8-import-order-pyc-0.18.2-r4.apk2024-10-25 22:12 17K 
[   ]repo-2.50.1-r0.apk2024-12-20 21:12 17K 
[   ]plzip-doc-1.12-r0.apk2025-01-15 09:35 17K 
[   ]alarmwakeup-dbg-0.2.1-r0.apk2024-10-25 22:10 17K 
[   ]py3-pybars3-pyc-0.9.7-r6.apk2024-10-25 22:12 17K 
[   ]tinycbor-0.6.0-r1.apk2024-10-25 22:12 17K 
[   ]py3-proxmoxer-2.2.0-r0.apk2024-12-16 12:36 17K 
[   ]php81-pecl-psr-1.2.0-r0.apk2024-10-25 22:12 17K 
[   ]gammastep-pyc-2.0.9-r3.apk2024-10-25 22:11 17K 
[   ]ocaml-pbkdf-1.2.0-r2.apk2024-10-25 22:12 17K 
[   ]fungw-duktape-1.2.1-r0.apk2024-12-30 10:48 17K 
[   ]py3-sphinxcontrib-phpdomain-pyc-0.12.0-r0.apk2024-10-25 22:12 17K 
[   ]ocp-indent-doc-1.8.2-r2.apk2024-10-25 22:12 17K 
[   ]xf86-video-vboxvideo-1.0.0-r1.apk2024-10-25 22:13 17K 
[   ]dvdbackup-0.4.2-r1.apk2024-10-25 22:11 17K 
[   ]py3-dpath-2.2.0-r0.apk2024-10-25 22:12 17K 
[   ]gpscorrelate-lang-2.2-r0.apk2024-11-12 12:29 17K 
[   ]i2util-4.2.1-r1.apk2024-10-25 22:11 17K 
[   ]git-secret-doc-0.5.0-r0.apk2024-10-25 22:11 17K 
[   ]py3-svgpath-6.3-r3.apk2024-10-25 22:12 17K 
[   ]py3-bencode-4.0.0-r1.apk2024-10-25 22:12 17K 
[   ]py3-sphinxcontrib-git-11.0.0-r7.apk2024-10-25 22:12 17K 
[   ]newsyslog-1.2.0.91-r1.apk2024-10-25 22:11 17K 
[   ]libecap-static-1.0.1-r1.apk2024-10-25 22:11 17K 
[   ]xvidtune-1.0.4-r0.apk2024-10-25 22:13 17K 
[   ]py3-orderedmultidict-pyc-1.0.1-r7.apk2024-10-25 22:12 17K 
[   ]twemproxy-doc-0.5.0-r0.apk2024-10-25 22:13 17K 
[   ]py3-kerberos-1.3.1-r5.apk2024-10-25 22:12 17K 
[   ]php81-bcmath-8.1.31-r0.apk2024-11-20 02:22 17K 
[   ]arc-metacity-20221218-r0.apk2024-10-25 22:10 17K 
[   ]py3-findpython-0.6.2-r0.apk2024-10-25 22:12 17K 
[   ]gtklock-2.1.0-r0.apk2024-10-25 22:11 17K 
[   ]py3-actdiag-3.0.0-r5.apk2024-10-25 22:12 17K 
[   ]snapraid-doc-12.3-r0.apk2024-10-25 22:12 17K 
[   ]arc-lighter-metacity-20221218-r0.apk2024-10-25 22:10 17K 
[   ]tkts-2.0-r0.apk2024-10-25 22:12 17K 
[   ]gsimplecal-2.5.1-r0.apk2024-10-25 22:11 17K 
[   ]py3-truststore-0.10.0-r0.apk2024-10-25 22:12 17K 
[   ]emacs-taxy-magit-section-0.13-r0.apk2024-10-25 22:11 17K 
[   ]zarchive-0.1.2-r2.apk2024-10-25 22:13 17K 
[   ]py3-testresources-2.0.1-r6.apk2024-10-25 22:12 17K 
[   ]emacs-sqlite3-api-0.18-r0.apk2024-10-25 22:11 17K 
[   ]py3-phx-class-registry-pyc-5.0.0-r0.apk2024-10-25 22:12 17K 
[   ]py3-tls_parser-pyc-2.0.1-r1.apk2024-10-25 22:12 17K 
[   ]py3-googletrans-pyc-3.0.0-r5.apk2024-10-25 22:12 17K 
[   ]libfort-dev-0.4.2-r0.apk2024-10-25 22:11 17K 
[   ]wlclock-1.0.1-r0.apk2024-10-25 22:13 17K 
[   ]xkb-switch-1.8.5-r0.apk2024-10-25 22:13 17K 
[   ]bwrap-oci-0.2-r1.apk2024-10-25 22:11 17K 
[   ]cvs-fast-export-doc-1.65-r0.apk2024-10-25 22:11 17K 
[   ]arc-dark-metacity-20221218-r0.apk2024-10-25 22:10 17K 
[   ]hyx-2024.02.29-r0.apk2024-10-25 22:11 17K 
[   ]arc-darker-metacity-20221218-r0.apk2024-10-25 22:10 17K 
[   ]py3-soapy_power-1.6.1-r5.apk2024-10-25 22:12 17K 
[   ]py3-recommonmark-pyc-0.7.1-r4.apk2024-10-25 22:12 18K 
[   ]libideviceactivation-1.1.1-r5.apk2024-10-30 23:44 18K 
[   ]perl-dbix-lite-doc-0.36-r0.apk2024-12-30 07:37 18K 
[   ]openscap-daemon-doc-0.1.10-r9.apk2024-10-25 22:12 18K 
[   ]libeantic-dev-2.0.2-r1.apk2024-10-25 22:11 18K 
[   ]py3-pyrebase-pyc-3.0.27-r5.apk2024-10-25 22:12 18K 
[   ]hiawatha-letsencrypt-11.6-r0.apk2024-10-25 22:11 18K 
[   ]spvm-errno-0.092-r1.apk2024-10-25 22:12 18K 
[   ]octoprint-firmwarecheck-pyc-2021.10.11-r2.apk2024-10-25 22:12 18K 
[   ]wsmancli-2.6.2-r0.apk2024-10-25 22:13 18K 
[   ]py3-ansi2html-1.9.2-r0.apk2024-10-25 22:12 18K 
[   ]rizin-doc-0.6.3-r1.apk2024-10-25 22:12 18K 
[   ]mdp-1.0.15-r1.apk2024-10-25 22:11 18K 
[   ]perl-xml-stream-doc-1.24-r0.apk2024-10-25 22:12 18K 
[   ]perl-html-tableextract-2.15-r4.apk2024-10-25 22:12 18K 
[   ]remind-caldav-0.8.0-r4.apk2024-10-25 22:12 18K 
[   ]emacs-emacsql-sqlite-3.1.1_git20240714-r0.apk2024-10-25 22:11 18K 
[   ]lomiri-download-manager-dev-0.1.3-r3.apk2024-10-25 22:11 18K 
[   ]surfraw-doc-2.3.0-r0.apk2024-10-25 22:12 18K 
[   ]siril-doc-1.2.5-r1.apk2025-01-16 23:07 18K 
[   ]atool-0.39.0-r4.apk2024-10-25 22:10 18K 
[   ]xsecurelock-doc-1.9.0-r1.apk2024-10-25 22:13 18K 
[   ]pacparser-doc-1.4.5-r1.apk2024-10-25 22:12 18K 
[   ]py3-dpath-pyc-2.2.0-r0.apk2024-10-25 22:12 18K 
[   ]ocaml-randomconv-dev-0.1.3-r2.apk2024-10-25 22:12 18K 
[   ]rmlint-doc-2.10.2-r2.apk2024-10-25 22:12 18K 
[   ]wayqt-dev-0.2.0-r0.apk2024-10-25 22:13 18K 
[   ]libiio-doc-0.25-r2.apk2024-10-25 22:11 18K 
[   ]py3-cython-test-exception-raiser-1.0.2-r0.apk2024-10-25 22:12 18K 
[   ]py3-blockchain-pyc-1.4.4-r7.apk2024-10-25 22:12 18K 
[   ]licenseheaders-0.8.8-r4.apk2024-10-25 22:11 18K 
[   ]py3-sphinxcontrib-cartouche-1.1.2-r7.apk2024-10-25 22:12 18K 
[   ]xiccd-0.3.0_git20211219-r1.apk2024-10-25 22:13 18K 
[   ]hatop-0.8.2-r0.apk2024-10-25 22:11 18K 
[   ]circuslinux-doc-1.0.3-r1.apk2024-10-25 22:11 18K 
[   ]anarch-doc-1.0-r1.apk2024-10-25 22:10 18K 
[   ]py3-pymaging-0.0.20130908-r10.apk2024-10-25 22:12 18K 
[   ]perl-opentracing-1.006-r0.apk2024-10-25 22:12 18K 
[   ]perl-dbix-lite-0.36-r0.apk2024-12-30 07:37 18K 
[   ]py3-feedgenerator-2.1.0-r2.apk2024-10-25 22:12 18K 
[   ]mdnsd-static-0.12-r1.apk2024-10-25 22:11 18K 
[   ]py3-flask-qrcode-3.2.0-r0.apk2024-12-12 07:32 18K 
[   ]mobpass-0.2-r6.apk2024-10-25 22:11 18K 
[   ]perl-datetime-format-flexible-0.37-r0.apk2024-12-31 14:26 18K 
[   ]diceware-pyc-1.0.1-r0.apk2025-01-13 23:49 18K 
[   ]fnf-0.1-r0.apk2024-10-25 22:11 18K 
[   ]py3-sphinxcontrib-httpdomain-1.8.1-r3.apk2024-10-25 22:12 18K 
[   ]xva-img-1.5-r0.apk2024-10-25 22:13 18K 
[   ]persistent-cache-cpp-dev-1.0.7-r2.apk2024-10-25 22:12 18K 
[   ]py3-flask-migrate-pyc-4.0.7-r0.apk2024-10-25 22:12 18K 
[   ]roswell-doc-24.10.115-r0.apk2024-10-25 22:12 18K 
[   ]py3-flake8-isort-6.1.1-r1.apk2024-10-25 22:12 18K 
[   ]lua5.1-linenoise-0.9-r1.apk2024-10-25 22:11 18K 
[   ]sthttpd-doc-2.27.1-r2.apk2024-10-25 22:12 18K 
[   ]perl-cpan-changes-doc-0.500004-r0.apk2024-10-25 22:12 18K 
[   ]imrsh-dbg-0_git20210320-r1.apk2024-10-25 22:11 18K 
[   ]py3-flake8-copyright-0.2.4-r3.apk2024-10-25 22:12 18K 
[   ]lua5.4-linenoise-0.9-r1.apk2024-10-25 22:11 18K 
[   ]lua5.2-linenoise-0.9-r1.apk2024-10-25 22:11 18K 
[   ]lua5.3-linenoise-0.9-r1.apk2024-10-25 22:11 18K 
[   ]liberasurecode-dev-1.6.3-r1.apk2024-10-25 22:11 18K 
[   ]py3-flask-cache-pyc-0.13.1-r9.apk2024-10-25 22:12 18K 
[   ]py3-schema-pyc-0.7.5-r4.apk2024-10-25 22:12 18K 
[   ]ldapdomaindump-0.9.4-r1.apk2024-10-25 22:11 18K 
[   ]py3-aioxmpp-doc-0.13.3-r3.apk2024-10-25 22:12 18K 
[   ]wordgrinder-doc-0.8-r2.apk2024-10-25 22:13 18K 
[   ]droidcam-2.1.3-r1.apk2024-11-08 22:25 18K 
[   ]php81-iconv-8.1.31-r0.apk2024-11-20 02:22 18K 
[   ]cowsay-3.04-r2.apk2024-10-25 22:11 18K 
[   ]speedtest-doc-5.2.5-r1.apk2024-10-25 22:12 18K 
[   ]licenseheaders-pyc-0.8.8-r4.apk2024-10-25 22:11 18K 
[   ]ocaml-hkdf-dev-1.0.4-r2.apk2024-10-25 22:12 18K 
[   ]py3-yara-4.5.1-r0.apk2024-10-25 22:12 18K 
[   ]py3-fuzzywuzzy-0.18.0-r7.apk2024-10-25 22:12 18K 
[   ]coreboot-tools-cbmem-24.08-r1.apk2024-11-27 21:28 18K 
[   ]ssdfs-tools-dev-4.09-r0.apk2024-10-25 22:12 18K 
[   ]py3-schema-0.7.5-r4.apk2024-10-25 22:12 18K 
[   ]dfl-login1-0.2.0-r0.apk2024-10-25 22:11 18K 
[   ]py3-wbdata-1.0.0-r1.apk2024-10-25 22:12 18K 
[   ]py3-bson-pyc-0.5.10-r6.apk2024-10-25 22:12 19K 
[   ]py3-spin-0.8-r0.apk2024-10-25 22:12 19K 
[   ]py3-agithub-2.2.2-r6.apk2024-10-25 22:12 19K 
[   ]gkrellm-doc-2.3.11-r0.apk2025-01-08 23:36 19K 
[   ]py3-pltable-1.1.0-r1.apk2024-11-13 07:38 19K 
[   ]py3-vatnumber-1.2-r9.apk2024-10-25 22:12 19K 
[   ]py3-funcparserlib-pyc-1.0.1-r4.apk2024-10-25 22:12 19K 
[   ]zita-resampler-1.10.1-r0.apk2024-10-25 22:13 19K 
[   ]py3-iniparse-0.5-r7.apk2024-10-25 22:12 19K 
[   ]wlrobs-1.0-r4.apk2024-10-25 22:13 19K 
[   ]libmpfi-doc-1.5.4-r2.apk2024-10-25 22:11 19K 
[   ]py3-helper-2.5.0-r5.apk2024-10-25 22:12 19K 
[   ]php81-pecl-yaml-2.2.4-r0.apk2024-10-25 22:12 19K 
[   ]py3-pathvalidate-3.2.3-r0.apk2025-01-06 11:40 19K 
[   ]py3-aiohttp-remotes-pyc-1.3.0-r0.apk2024-11-04 13:28 19K 
[   ]ddcci-driver-linux-src-0.4.5-r1.apk2025-01-09 12:45 19K 
[   ]ocaml-ppx_blob-dev-0.8.0-r0.apk2024-10-25 22:12 19K 
[   ]rmlint-lang-2.10.2-r2.apk2024-10-25 22:12 19K 
[   ]py3-sphinxcontrib-spelling-pyc-8.0.0-r3.apk2024-10-25 22:12 19K 
[   ]py3-enzyme-pyc-0.5.1-r0.apk2024-10-25 22:12 19K 
[   ]php81-pecl-decimal-1.5.0-r1.apk2024-10-25 22:12 19K 
[   ]py3-evohome-client-0.3.7-r4.apk2024-10-25 22:12 19K 
[   ]razercfg-gui-0.42-r7.apk2024-10-25 22:12 19K 
[   ]libemf2svg-utils-1.1.0-r2.apk2024-10-25 22:11 19K 
[   ]emacs-svg-lib-0_git20240219-r0.apk2024-10-25 22:11 19K 
[   ]moe-doc-1.14-r0.apk2024-10-25 22:11 19K 
[   ]mdnsd-libs-0.12-r1.apk2024-10-25 22:11 19K 
[   ]lua-libmodbus-doc-0.6.1-r0.apk2024-10-25 22:11 19K 
[   ]boson-0_git20211219-r0.apk2024-10-25 22:11 19K 
[   ]py3-aioopenssl-pyc-0.6.0-r4.apk2024-10-25 22:12 19K 
[   ]perl-protocol-database-postgresql-2.001-r0.apk2024-10-25 22:12 19K 
[   ]ocaml-gettext-doc-0.4.2-r3.apk2024-10-25 22:12 19K 
[   ]onioncat-doc-4.11.0-r1.apk2024-10-25 22:12 19K 
[   ]perl-autobox-3.0.2-r0.apk2024-10-25 22:12 19K 
[   ]simpleble-dev-0.6.1-r2.apk2024-12-14 20:46 19K 
[   ]perl-hash-ordered-doc-0.014-r0.apk2024-10-25 22:12 19K 
[   ]znc-clientbuffer-1.0.48-r8.apk2024-10-25 22:13 19K 
[   ]freealut-1.1.0-r1.apk2024-10-25 22:11 19K 
[   ]planarity-dev-3.0.2.0-r2.apk2024-10-25 22:12 19K 
[   ]py3-cobs-1.2.0-r4.apk2024-10-25 22:12 19K 
[   ]sdparm-doc-1.12-r1.apk2024-10-25 22:12 19K 
[   ]materia-kde-20220823-r0.apk2024-10-25 22:11 19K 
[   ]shellinabox-doc-2.21-r3.apk2024-10-25 22:12 19K 
[   ]perl-mojo-sqlite-doc-3.009-r0.apk2024-10-25 22:12 19K 
[   ]flatpak-xdg-utils-1.0.6-r0.apk2024-10-25 22:11 19K 
[   ]php81-pecl-ast-1.1.2-r0.apk2024-10-25 22:12 19K 
[   ]bootinfo-0.1.0-r4.apk2024-10-25 22:11 19K 
[   ]xfce4-panel-profiles-doc-1.0.14-r1.apk2024-10-25 22:13 19K 
[   ]hexedit-1.6_git20230905-r0.apk2024-10-25 22:11 19K 
[   ]py3-pytest-subprocess-1.5.2-r0.apk2024-10-25 22:12 20K 
[   ]greetd-mini-wl-greeter-0_git20230821-r0.apk2024-10-25 22:11 20K 
[   ]lua-lupa-1.0-r0.apk2024-10-25 22:11 20K 
[   ]py3-sphinx-autodoc-typehints-3.0.1-r0.apk2025-01-19 12:55 20K 
[   ]py3-wbdata-pyc-1.0.0-r1.apk2024-10-25 22:12 20K 
[   ]libofx-dev-0.10.9-r1.apk2024-10-25 22:11 20K 
[   ]perl-xml-atom-0.43-r0.apk2024-10-25 22:12 20K 
[   ]openspades-doc-0.1.3-r5.apk2024-10-25 22:12 20K 
[   ]liblastfm-qt-dev-1.1.10_git20190823-r3.apk2024-10-25 22:11 20K 
[   ]ampy-pyc-1.1.0-r5.apk2024-10-25 22:10 20K 
[   ]py3-py-radix-0.10.0-r10.apk2024-10-25 22:12 20K 
[   ]perl-gtk3-0.038-r1.apk2024-10-25 22:12 20K 
[   ]py3-poetry-dynamic-versioning-1.6.0-r0.apk2025-01-13 07:25 20K 
[   ]py3-deluge-client-pyc-1.10.2-r0.apk2024-10-25 22:12 20K 
[   ]pimd-dense-doc-2.1.0-r0.apk2024-10-25 22:12 20K 
[   ]linuxptp-tz2alt-4.4-r0.apk2024-11-18 19:00 20K 
[   ]py3-gevent-websocket-0.10.1-r8.apk2024-10-25 22:12 20K 
[   ]ocaml-cmdliner-doc-1.1.1-r3.apk2024-10-25 22:12 20K 
[   ]perl-test-trap-0.3.5-r1.apk2024-10-25 22:12 20K 
[   ]perl-gearman-doc-2.004.015-r3.apk2024-10-25 22:12 20K 
[   ]ocaml-mirage-net-dev-4.0.0-r3.apk2024-10-25 22:12 20K 
[   ]py3-flask-restaction-pyc-0.25.3-r8.apk2024-10-25 22:12 20K 
[   ]eiwd-doc-2.22-r0.apk2024-10-25 22:11 20K 
[   ]circuslinux-1.0.3-r1.apk2024-10-25 22:11 20K 
[   ]perl-ffi-c-0.15-r0.apk2024-10-25 22:12 20K 
[   ]lzfse-1.0-r0.apk2024-10-25 22:11 20K 
[   ]perl-test-trap-doc-0.3.5-r1.apk2024-10-25 22:12 20K 
[   ]neko-doc-2.3.0-r0.apk2024-11-20 23:26 20K 
[   ]apk-snap-doc-3.1.1-r0.apk2024-10-25 22:10 20K 
[   ]py3-incoming-pyc-0.3.1-r8.apk2024-10-25 22:12 20K 
[   ]ocaml-seq-dev-0.3.1-r2.apk2024-10-25 22:12 20K 
[   ]lomiri-app-launch-dev-0.1.10-r0.apk2025-01-10 11:15 20K 
[   ]bootterm-0.5-r0.apk2024-10-25 22:11 20K 
[   ]php81-xml-8.1.31-r0.apk2024-11-20 02:22 20K 
[   ]ydcv-0.7-r8.apk2024-10-25 22:13 20K 
[   ]ocaml-mirage-kv-4.0.1-r3.apk2024-10-25 22:12 20K 
[   ]vim-rust-305-r0.apk2024-10-25 22:13 20K 
[   ]perl-bsd-resource-1.2911-r10.apk2024-10-25 22:12 20K 
[   ]perl-test-expander-doc-2.5.1-r0.apk2024-10-25 22:12 20K 
[   ]php81-tidy-8.1.31-r0.apk2024-11-20 02:22 20K 
[   ]py3-piccata-2.0.3-r1.apk2024-10-25 22:12 20K 
[   ]ddgr-2.2-r0.apk2024-10-25 22:11 20K 
[   ]materia-light-kde-plasma-20220823-r0.apk2024-10-25 22:11 20K 
[   ]kubeone-doc-1.9.1-r1.apk2025-01-19 12:55 20K 
[   ]libhomfly-dev-1.02_p6-r1.apk2024-10-25 22:11 20K 
[   ]perl-sql-abstract-classic-doc-1.91-r1.apk2024-10-25 22:12 20K 
[   ]py3-imageio-ffmpeg-pyc-0.4.9-r1.apk2024-10-25 22:12 20K 
[   ]portsmf-dev-239-r1.apk2024-10-25 22:12 20K 
[   ]ptpd-doc-2.3.1-r1.apk2024-10-25 22:12 20K 
[   ]qmk-cli-pyc-1.1.6-r0.apk2024-11-01 00:17 20K 
[   ]py3-libnacl-2.1.0-r1.apk2024-10-25 22:12 20K 
[   ]py3-nmap-0.7.1-r4.apk2024-10-25 22:12 20K 
[   ]libiscsi-dev-1.19.0-r2.apk2024-10-25 22:11 20K 
[   ]libtinycbor-0.6.0-r1.apk2024-10-25 22:11 20K 
[   ]py3-pyisbn-1.3.1-r3.apk2024-10-25 22:12 20K 
[   ]sqm-scripts-1.6.0-r0.apk2024-10-25 22:12 20K 
[   ]powerstat-0.04.01-r0.apk2024-10-25 22:12 20K 
[   ]py3-sphinxcontrib-restbuilder-pyc-0.3-r6.apk2024-10-25 22:12 20K 
[   ]py3-teletype-pyc-1.3.4-r3.apk2024-10-25 22:12 21K 
[   ]musikcube-dev-3.0.4-r0.apk2024-10-25 22:11 21K 
[   ]tang-doc-15-r0.apk2025-01-20 05:17 21K 
[   ]htmlcxx-dev-0.87-r1.apk2024-10-25 22:11 21K 
[   ]php81-pdo_pgsql-8.1.31-r0.apk2024-11-20 02:22 21K 
[   ]adjtimex-1.29-r0.apk2024-10-25 22:10 21K 
[   ]iprange-1.0.4-r1.apk2024-10-25 22:11 21K 
[   ]mptcpize-0.13-r1.apk2025-01-10 18:18 21K 
[   ]py3-altgraph-0.17.4-r1.apk2024-10-25 22:12 21K 
[   ]detox-doc-2.0.0-r0.apk2024-10-25 22:11 21K 
[   ]readosm-dev-1.1.0-r2.apk2024-10-25 22:12 21K 
[   ]lomiri-url-dispatcher-lang-0.1.3-r2.apk2024-10-25 22:11 21K 
[   ]fusee-nano-0.5.3-r1.apk2024-10-25 22:11 21K 
[   ]py3-aioopenssl-0.6.0-r4.apk2024-10-25 22:12 21K 
[   ]dulcepan-1.0.2-r0.apk2024-10-25 22:11 21K 
[   ]bump2version-1.0.1-r6.apk2024-10-25 22:11 21K 
[   ]tup-doc-0.7.11-r0.apk2024-10-25 22:13 21K 
[   ]py3-firmata-pyc-1.0.3-r10.apk2024-10-25 22:12 21K 
[   ]php81-pecl-smbclient-1.2.0_pre-r0.apk2024-12-10 20:02 21K 
[   ]znc-playback-0_git20210503-r8.apk2024-10-25 22:13 21K 
[   ]py3-telegram-pyc-0.18.0-r3.apk2024-10-25 22:12 21K 
[   ]py3-rfc3987-1.3.8-r6.apk2024-10-25 22:12 21K 
[   ]py3-telemetrix-1.20-r3.apk2024-10-25 22:12 21K 
[   ]libiio-pyc-0.25-r2.apk2024-10-25 22:11 21K 
[   ]libopensmtpd-0.7-r0.apk2024-10-25 22:11 21K 
[   ]py3-numpy-stl-3.2.0-r0.apk2024-12-01 03:04 21K 
[   ]perl-http-xsheaders-0.400005-r1.apk2024-10-25 22:12 21K 
[   ]zvbi-doc-0.2.43-r0.apk2024-12-07 21:31 21K 
[   ]ukify-257-r0.apk2025-01-15 22:38 21K 
[   ]hiawatha-doc-11.6-r0.apk2024-10-25 22:11 21K 
[   ]php82-pecl-excimer-1.2.3-r0.apk2024-12-04 02:49 21K 
[   ]php83-pecl-excimer-1.2.3-r0.apk2024-12-04 02:49 21K 
[   ]py3-pure_protobuf-3.1.2-r0.apk2024-10-25 22:12 21K 
[   ]libraqm-doc-0.10.2-r0.apk2024-10-25 22:11 21K 
[   ]recoll-doc-1.37.5-r1.apk2024-10-25 22:12 21K 
[   ]perl-extutils-xsbuilder-doc-0.28-r5.apk2024-10-25 22:12 21K 
[   ]nvim-packer-doc-0.0.0_git20220910-r1.apk2024-10-25 22:11 21K 
[   ]dnsenum-1.3.2-r0.apk2024-10-25 22:11 21K 
[   ]ubase-doc-20200605-r3.apk2024-10-25 22:13 21K 
[   ]nginx-ultimate-bad-bot-blocker-doc-4.2023.10.4046-r1.apk2024-10-25 22:11 21K 
[   ]cups-pdf-3.0.1-r2.apk2024-10-25 22:11 21K 
[   ]ruff-lsp-0.0.53-r0.apk2024-10-25 22:12 21K 
[   ]py3-linkify-it-py-2.0.3-r1.apk2024-10-25 22:12 21K 
[   ]py3-logtop-0.7-r0.apk2024-10-25 22:12 21K 
[   ]php81-gmp-8.1.31-r0.apk2024-11-20 02:22 21K 
[   ]varnish-modules-doc-0.24.0-r0.apk2024-10-25 22:13 21K 
[   ]py3-nptyping-2.5.0-r3.apk2024-10-25 22:12 21K 
[   ]py3-sphinxcontrib-hydomain-0.1.0_git20230930-r1.apk2024-10-25 22:12 21K 
[   ]py3-furl-2.1.3-r4.apk2024-10-25 22:12 21K 
[   ]nmap-parse-output-1.5.1-r0.apk2024-10-25 22:11 21K 
[   ]horizon-doc-0.9.6-r9.apk2024-10-25 22:11 21K 
[   ]py3-actdiag-pyc-3.0.0-r5.apk2024-10-25 22:12 21K 
[   ]materia-light-kde-yakuake-20220823-r0.apk2024-10-25 22:11 21K 
[   ]dfl-ipc-0.2.0-r0.apk2024-10-25 22:11 22K 
[   ]vbindiff-3.0_beta5-r1.apk2024-10-25 22:13 22K 
[   ]musikcube-plugin-stockencoders-3.0.4-r0.apk2024-10-25 22:11 22K 
[   ]lkrg-doc-0.9.6-r1.apk2025-01-09 12:44 22K 
[   ]py3-agithub-pyc-2.2.2-r6.apk2024-10-25 22:12 22K 
[   ]starfighter-doc-2.4-r0.apk2024-10-25 22:12 22K 
[   ]libserialport-0.1.1-r1.apk2024-10-25 22:11 22K 
[   ]py3-unidns-pyc-0.0.1-r2.apk2024-10-25 22:12 22K 
[   ]fulcrum-doc-1.9.8-r1.apk2024-10-25 22:11 22K 
[   ]py3-pytest-html-4.1.1-r1.apk2024-10-25 22:12 22K 
[   ]py3-svgpath-pyc-6.3-r3.apk2024-10-25 22:12 22K 
[   ]wiremapper-0.10.0-r0.apk2024-10-25 22:13 22K 
[   ]bindfs-1.17.7-r0.apk2025-01-03 15:34 22K 
[   ]perl-net-idn-encode-doc-2.500-r1.apk2024-10-25 22:12 22K 
[   ]materia-dark-kde-yakuake-20220823-r0.apk2024-10-25 22:11 22K 
[   ]py3-pytest-html-pyc-4.1.1-r1.apk2024-10-25 22:12 22K 
[   ]libnxml-0.18.3-r0.apk2024-10-25 22:11 22K 
[   ]libmrss-0.19.2-r1.apk2024-10-25 22:11 22K 
[   ]py3-pyroma-4.2-r0.apk2024-10-25 22:12 22K 
[   ]efibootguard-dev-0.16-r0.apk2024-10-25 22:11 22K 
[   ]py3-ansi2html-pyc-1.9.2-r0.apk2024-10-25 22:12 22K 
[   ]py3-virtualenvwrapper-6.1.0-r1.apk2024-10-25 22:12 22K 
[   ]postgresql-pg_partman-bitcode-5.0.0-r0.apk2024-10-25 22:12 22K 
[   ]tree-sitter-nix-0_git20230713-r0.apk2024-10-25 22:12 22K 
[   ]libnfc-doc-1.8.0-r1.apk2024-10-25 22:11 22K 
[   ]netdiscover-doc-0.10-r0.apk2024-10-25 22:11 22K 
[   ]libspatialindex-dev-0_git20210205-r1.apk2024-10-25 22:11 22K 
[   ]xmp-4.2.0-r0.apk2024-10-25 22:13 22K 
[   ]minimodem-0.24-r1.apk2024-10-25 22:11 22K 
[   ]py3-cstruct-5.3-r1.apk2024-10-25 22:12 22K 
[   ]perl-mastodon-client-0.017-r0.apk2024-10-25 22:12 22K 
[   ]perl-flowd-0.9.1-r10.apk2024-10-25 22:12 22K 
[   ]perl-net-patricia-1.22-r12.apk2024-10-25 22:12 22K 
[   ]py3-sphinx-argparse-pyc-0.5.2-r0.apk2024-10-25 22:12 22K 
[   ]coreboot-tools-ifdtool-24.08-r1.apk2024-11-27 21:28 22K 
[   ]py3-linux-procfs-pyc-0.7.3-r0.apk2025-01-13 22:19 22K 
[   ]py3-mando-0.7.1-r3.apk2024-10-25 22:12 22K 
[   ]cdba-server-1.0-r2.apk2024-10-25 22:11 22K 
[   ]timewarrior-doc-1.7.1-r0.apk2024-10-25 22:12 22K 
[   ]py3-dexml-0.5.1-r9.apk2024-10-25 22:12 22K 
[   ]py3-coreapi-2.3.3-r9.apk2024-10-25 22:12 22K 
[   ]apk-tools3-dev-3.0.0_pre3_git20241029-r0.apk2024-10-30 06:03 22K 
[   ]sblim-sfcc-dev-2.2.8-r3.apk2024-10-25 22:12 22K 
[   ]pmccabe-2.8-r1.apk2024-10-25 22:12 22K 
[   ]py3-html5-parser-pyc-0.4.12-r1.apk2024-10-25 22:12 22K 
[   ]perl-dbix-connector-doc-0.60-r0.apk2024-12-30 07:37 22K 
[   ]php81-sqlite3-8.1.31-r0.apk2024-11-20 02:22 22K 
[   ]policycoreutils-doc-3.6-r1.apk2024-10-25 22:12 22K 
[   ]php81-snmp-8.1.31-r0.apk2024-11-20 02:22 22K 
[   ]flintqs-1.0-r1.apk2024-10-25 22:11 22K 
[   ]perl-glib-ex-objectbits-doc-17-r0.apk2024-10-25 22:12 22K 
[   ]bestline-0.0_git20211108-r0.apk2024-10-25 22:10 22K 
[   ]swi-prolog-pyc-9.2.9-r0.apk2024-12-21 11:10 22K 
[   ]fungw-cli-1.2.1-r0.apk2024-12-30 10:48 22K 
[   ]py3-pymata-2.20-r4.apk2024-10-25 22:12 22K 
[   ]py3-remind-pyc-0.19.1-r0.apk2024-10-25 22:12 23K 
[   ]surf-2.1-r3.apk2024-10-25 22:12 23K 
[   ]sregex-0.0.1-r1.apk2024-10-25 22:12 23K 
[   ]perl-rxperl-doc-6.29.8-r0.apk2024-10-25 22:12 23K 
[   ]fox-shutterbug-1.6.57-r0.apk2024-10-25 22:11 23K 
[   ]ocaml-containers-top-3.7-r2.apk2024-10-25 22:12 23K 
[   ]emacs-emacsql-3.1.1_git20240714-r0.apk2024-10-25 22:11 23K 
[   ]htslib-doc-1.19-r0.apk2024-10-25 22:11 23K 
[   ]gdb-dashboard-0.17.3-r0.apk2024-12-24 23:53 23K 
[   ]py3-pyvcd-0.4.1-r0.apk2024-11-11 22:46 23K 
[   ]py3-geoip-1.3.2-r4.apk2024-10-25 22:12 23K 
[   ]zycore-1.5.0-r0.apk2024-10-25 22:13 23K 
[   ]ntpd-rs-doc-1.4.0-r0.apk2024-12-14 20:46 23K 
[   ]ocaml-lwt-dllist-1.0.1-r3.apk2024-10-25 22:12 23K 
[   ]coreboot-tools-nvramtool-24.08-r1.apk2024-11-27 21:28 23K 
[   ]py3-wgconfig-1.0.3-r0.apk2024-10-25 22:12 23K 
[   ]perl-css-object-0.2.0-r0.apk2024-10-25 22:12 23K 
[   ]znc-backlog-0_git20210503-r8.apk2024-10-25 22:13 23K 
[   ]nlopt-doc-2.9.1-r0.apk2024-12-28 06:46 23K 
[   ]emacs-ace-window-0.10.0_git20220911-r0.apk2024-10-25 22:11 23K 
[   ]perl-database-async-0.019-r0.apk2024-10-25 22:12 23K 
[   ]dooit-extras-pyc-0.2.0-r0.apk2024-12-07 21:23 23K 
[   ]tree-sitter-clojure-0.0.12-r0.apk2024-10-25 22:12 23K 
[   ]dived-1.9.0-r0.apk2024-10-25 22:11 23K 
[   ]autotrash-0.4.7-r0.apk2024-10-25 22:10 23K 
[   ]tncattach-0.1.9-r1.apk2024-10-25 22:12 23K 
[   ]libcyaml-1.4.2-r0.apk2024-10-25 22:11 23K 
[   ]aoetools-37-r2.apk2025-01-14 17:36 23K 
[   ]libsemanage-doc-3.6-r1.apk2024-10-25 22:11 23K 
[   ]tree-sitter-scheme-0.23.0-r0.apk2024-10-25 22:12 23K 
[   ]py3-pysrt-pyc-1.1.2-r4.apk2024-10-25 22:12 23K 
[   ]pam-krb5-4.11-r1.apk2024-10-25 22:12 23K 
[   ]py3-pymata4-1.15-r4.apk2024-10-25 22:12 23K 
[   ]antimicrox-doc-3.4.0-r0.apk2024-10-25 22:10 23K 
[   ]pfetch-1.7.0-r0.apk2025-01-03 19:51 23K 
[   ]py3-aiowinreg-0.0.12-r0.apk2024-10-25 22:12 23K 
[   ]py3-tasklib-2.5.1-r2.apk2024-10-25 22:12 23K 
[   ]py3-enzyme-0.5.1-r0.apk2024-10-25 22:12 23K 
[   ]clevis-doc-21-r0.apk2025-01-20 05:17 23K 
[   ]kodaskanna-lang-0.2.2-r0.apk2025-01-20 09:16 23K 
[   ]libibumad-doc-1.3.10.2-r3.apk2024-10-25 22:11 23K 
[   ]perl-getopt-tabular-0.3-r4.apk2024-10-25 22:12 23K 
[   ]lua5.2-luacov-0.15.0-r0.apk2024-10-25 22:11 23K 
[   ]lua5.3-luacov-0.15.0-r0.apk2024-10-25 22:11 23K 
[   ]rook-doc-0.2.0-r2.apk2025-01-19 12:55 23K 
[   ]lua5.1-luacov-0.15.0-r0.apk2024-10-25 22:11 23K 
[   ]lua5.4-luacov-0.15.0-r0.apk2024-10-25 22:11 23K 
[   ]py3-compdb-0.2.0-r8.apk2024-10-25 22:12 23K 
[   ]spvm-math-1.005-r0.apk2025-01-15 19:23 23K 
[   ]libirecovery-1.2.1-r0.apk2024-10-30 23:44 23K 
[   ]py3-linkify-it-py-pyc-2.0.3-r1.apk2024-10-25 22:12 23K 
[   ]py3-minidb-pyc-2.0.8-r0.apk2024-11-13 19:50 23K 
[   ]admesh-doc-0.98.5-r0.apk2024-10-25 22:10 23K 
[   ]mdnsd-0.12-r1.apk2024-10-25 22:11 23K 
[   ]shipments-0.3.0-r0.apk2024-10-25 22:12 23K 
[   ]emacs-derl-0_git20231004-r0.apk2024-10-25 22:11 23K 
[   ]lua5.2-xml-1.1.3-r2.apk2024-10-25 22:11 23K 
[   ]py3-bite-parser-pyc-0.2.5-r0.apk2024-10-28 22:51 23K 
[   ]isomd5sum-1.2.3-r3.apk2024-10-25 22:11 24K 
[   ]py3-timeago-1.0.16-r0.apk2024-10-25 22:12 24K 
[   ]makedumpfile-doc-1.7.6-r0.apk2024-10-28 05:12 24K 
[   ]nwg-displays-0.3.13-r1.apk2024-10-25 22:11 24K 
[   ]musikcube-plugin-mpris-3.0.4-r0.apk2024-10-25 22:11 24K 
[   ]junit2html-pyc-31.0.2-r0.apk2024-10-25 22:11 24K 
[   ]pam-krb5-doc-4.11-r1.apk2024-10-25 22:12 24K 
[   ]lua5.1-xml-1.1.3-r2.apk2024-10-25 22:11 24K 
[   ]py3-colored-pyc-2.2.4-r0.apk2024-12-13 20:44 24K 
[   ]ocaml-uuidm-dev-0.9.8-r2.apk2024-10-25 22:12 24K 
[   ]smile-lang-2.9.5-r0.apk2024-10-25 22:12 24K 
[   ]py3-discid-1.2.0-r6.apk2024-10-25 22:12 24K 
[   ]py3-sphinxcontrib-programoutput-pyc-0.17-r5.apk2024-10-25 22:12 24K 
[   ]py3-aiosasl-pyc-0.5.0-r4.apk2024-10-25 22:12 24K 
[   ]newsyslog-doc-1.2.0.91-r1.apk2024-10-25 22:11 24K 
[   ]py3-rst2ansi-pyc-0.1.5-r0.apk2024-10-25 22:12 24K 
[   ]perl-data-checks-0.10-r0.apk2024-10-25 22:12 24K 
[   ]brltty-static-6.7-r0.apk2024-10-25 22:11 24K 
[   ]py3-ffmpeg-0.2.0-r4.apk2024-10-25 22:12 24K 
[   ]cliquer-tests-1.22-r2.apk2024-10-25 22:11 24K 
[   ]mame-doc-0.251-r0.apk2024-10-25 22:11 24K 
[   ]mbrola-3.3-r0.apk2024-10-25 22:11 24K 
[   ]py3-twiggy-0.5.1-r4.apk2024-10-25 22:12 24K 
[   ]php81-pecl-mailparse-3.1.8-r0.apk2024-10-25 22:12 24K 
[   ]knxd-dev-0.14.61-r1.apk2024-12-14 20:46 24K 
[   ]py3-hatch-openzim-bootstrap-0.1.0-r0.apk2024-10-25 22:12 24K 
[   ]vidcutter-doc-6.0.5.3-r0.apk2024-10-25 22:13 24K 
[   ]gupnp-dlna-dev-0.12.0-r0.apk2024-12-01 13:58 24K 
[   ]xed-python-3.8.1-r0.apk2024-12-24 07:13 24K 
[   ]lomiri-location-service-lang-3.1.0-r7.apk2024-10-25 22:11 24K 
[   ]git-revise-0.7.0-r5.apk2024-10-25 22:11 24K 
[   ]epr-pyc-2.4.15-r1.apk2024-10-25 22:11 24K 
[   ]libm4rie-dev-20200125-r5.apk2025-01-15 19:23 24K 
[   ]mtree-portable-0_git20220519-r0.apk2024-10-25 22:11 24K 
[   ]py3-spin-pyc-0.8-r0.apk2024-10-25 22:12 24K 
[   ]font-siji-20190218_git-r2.apk2024-10-25 22:11 24K 
[   ]ocaml-mirage-profile-0.9.1-r3.apk2024-10-25 22:12 24K 
[   ]py3-hatch-openzim-0.2.0-r0.apk2024-10-25 22:12 25K 
[   ]php81-ftp-8.1.31-r0.apk2024-11-20 02:22 25K 
[   ]py3-pytest-subprocess-pyc-1.5.2-r0.apk2024-10-25 22:12 25K 
[   ]py3-mopidy-jellyfin-1.0.4-r4.apk2024-10-25 22:12 25K 
[   ]py3-librtmp-pyc-0.3.0-r6.apk2024-10-25 22:12 25K 
[   ]py3-remind-0.19.1-r0.apk2024-10-25 22:12 25K 
[   ]php81-simplexml-8.1.31-r0.apk2024-11-20 02:22 25K 
[   ]perl-mojo-redis-doc-3.29-r0.apk2024-10-25 22:12 25K 
[   ]py3-iniparse-pyc-0.5-r7.apk2024-10-25 22:12 25K 
[   ]py3-wtf-peewee-pyc-3.0.6-r0.apk2024-10-25 22:12 25K 
[   ]py3-rtree-1.3.0-r0.apk2025-01-04 06:20 25K 
[   ]perl-dns-unbound-0.29-r1.apk2024-10-25 22:12 25K 
[   ]py3-urlobject-pyc-2.4.3-r9.apk2024-10-25 22:12 25K 
[   ]gnome-metronome-lang-1.3.0-r0.apk2024-10-25 22:11 25K 
[   ]py3-qt.py-pyc-1.3.10-r1.apk2024-10-25 22:12 25K 
[   ]py3-dominate-2.9.1-r1.apk2024-10-25 22:12 25K 
[   ]py3-mopidy-tidal-0.3.2-r6.apk2024-10-25 22:12 25K 
[   ]numbat-doc-1.9.0-r0.apk2024-10-25 22:11 25K 
[   ]py3-modbus-tk-1.1.1-r4.apk2024-10-25 22:12 25K 
[   ]freealut-dev-1.1.0-r1.apk2024-10-25 22:11 25K 
[   ]smplxmpp-doc-0.9.3-r4.apk2024-12-18 13:06 25K 
[   ]tanidvr-1.4.1-r1.apk2024-10-25 22:12 25K 
[   ]snapper-doc-0.12.0-r0.apk2024-11-18 19:32 25K 
[   ]py3-queuelib-pyc-1.7.0-r0.apk2024-10-25 22:12 25K 
[   ]vfd-configurations-0_git20230612-r0.apk2024-10-25 22:13 25K 
[   ]pnmixer-lang-0.7.2-r3.apk2024-10-25 22:12 25K 
[   ]pdfcrack-0.20-r0.apk2024-10-25 22:12 25K 
[   ]tayga-0.9.2-r0.apk2024-10-25 22:12 25K 
[   ]hilbish-doc-2.3.4-r1.apk2025-01-19 12:55 25K 
[   ]py3-poetry-dynamic-versioning-pyc-1.6.0-r0.apk2025-01-13 07:25 25K 
[   ]wol-0.7.1-r3.apk2024-10-25 22:13 25K 
[   ]3proxy-doc-0.9.4-r1.apk2024-10-25 22:10 25K 
[   ]stubbyboot-efistub-1.0.4-r0.apk2025-01-10 13:38 25K 
[   ]php81-dba-8.1.31-r0.apk2024-11-20 02:22 25K 
[   ]py3-json5-0.9.25-r0.apk2024-11-30 22:09 25K 
[   ]olsrd-doc-0.9.8-r3.apk2024-10-25 22:12 25K 
[   ]php81-common-8.1.31-r0.apk2024-11-20 02:22 25K 
[   ]tnef-1.4.18-r0.apk2024-10-25 22:12 25K 
[   ]perl-mojo-redis-3.29-r0.apk2024-10-25 22:12 25K 
[   ]lshell-doc-0.9.18-r11.apk2024-10-25 22:11 25K 
[   ]opkg-utils-0.7.0-r0.apk2024-10-25 22:12 25K 
[   ]thunarx-python-doc-0.5.2-r2.apk2024-10-25 22:12 25K 
[   ]py3-pockethernet-pyc-0.7.0-r4.apk2024-10-25 22:12 25K 
[   ]parcellite-doc-1.2.5-r0.apk2024-10-25 22:12 25K 
[   ]py3-truststore-pyc-0.10.0-r0.apk2024-10-25 22:12 25K 
[   ]lrcalc-libs-2.1-r1.apk2024-10-25 22:11 25K 
[   ]p0f-doc-3.09b-r3.apk2024-10-25 22:12 25K 
[   ]dmarc-metrics-exporter-1.2.0-r0.apk2024-11-29 23:02 25K 
[   ]ocaml-pbkdf-dev-1.2.0-r2.apk2024-10-25 22:12 25K 
[   ]php81-odbc-8.1.31-r0.apk2024-11-20 02:22 25K 
[   ]ip2location-8.6.1-r0.apk2024-10-25 22:11 25K 
[   ]ocaml-trie-dev-1.0.0-r2.apk2024-10-25 22:12 25K 
[   ]py3-nmap-pyc-0.7.1-r4.apk2024-10-25 22:12 25K 
[   ]mcjoin-2.11-r0.apk2024-10-25 22:11 26K 
[   ]hopalong-0.1-r3.apk2024-10-25 22:11 26K 
[   ]perl-promise-me-0.5.0-r0.apk2024-10-25 22:12 26K 
[   ]ssh-tools-1.8-r0.apk2024-10-25 22:12 26K 
[   ]py3-manuel-pyc-1.13.0-r0.apk2024-11-30 21:14 26K 
[   ]py3-libacl-0.7.0-r2.apk2024-10-25 22:12 26K 
[   ]perl-number-tolerant-doc-1.710-r0.apk2024-10-25 22:12 26K 
[   ]thunar-gtkhash-plugin-1.5-r0.apk2024-10-25 22:12 26K 
[   ]perl-conf-libconfig-1.0.3-r0.apk2024-10-25 22:12 26K 
[   ]khronos-lang-4.0.1-r0.apk2024-10-25 22:11 26K 
[   ]py3-snapshottest-pyc-0.6.0-r5.apk2024-10-25 22:12 26K 
[   ]py3-flask-mailman-pyc-1.1.1-r0.apk2024-10-25 22:12 26K 
[   ]perl-promise-xs-0.20-r1.apk2024-10-25 22:12 26K 
[   ]py3-pysrt-1.1.2-r4.apk2024-10-25 22:12 26K 
[   ]nemo-gtkhash-plugin-1.5-r0.apk2024-10-25 22:11 26K 
[   ]py3-asif-pyc-0.3.2-r3.apk2024-10-25 22:12 26K 
[   ]libtsm-4.0.2-r1.apk2024-10-25 22:11 26K 
[   ]fungw-python3-1.2.1-r0.apk2024-12-30 10:48 26K 
[   ]py3-pyroma-pyc-4.2-r0.apk2024-10-25 22:12 26K 
[   ]jedi-language-server-0.43.1-r0.apk2025-01-04 02:41 26K 
[   ]postgresql-pg_variables-1.2.5_git20230922-r0.apk2024-10-25 22:12 26K 
[   ]lxqt-wayland-session-doc-0.1.0-r0.apk2025-01-11 11:32 26K 
[   ]py3-unoconv-0.9.0-r2.apk2024-10-25 22:12 26K 
[   ]flashprog-doc-1.3-r0.apk2024-11-12 17:00 26K 
[   ]perl-ryu-4.001-r0.apk2024-10-25 22:12 26K 
[   ]cliquer-libs-1.22-r2.apk2024-10-25 22:11 26K 
[   ]py3-dunamai-1.23.0-r0.apk2024-12-10 08:52 26K 
[   ]mirrorhall-0.1.1-r1.apk2025-01-14 17:36 26K 
[   ]perl-rxperl-6.29.8-r0.apk2024-10-25 22:12 26K 
[   ]py3-sqlmodel-0.0.22-r1.apk2024-12-07 00:03 26K 
[   ]pcsc-perl-1.4.16-r1.apk2024-10-25 22:12 26K 
[   ]py3-milc-1.9.0-r0.apk2024-10-25 22:12 26K 
[   ]refine-0.4.1-r0.apk2025-01-20 15:46 26K 
[   ]dehydrated-0.7.1-r0.apk2024-10-25 22:11 26K 
[   ]sfwbar-doc-1.0_beta16-r1.apk2024-12-12 20:02 26K 
[   ]zarchive-libs-0.1.2-r2.apk2024-10-25 22:13 26K 
[   ]py3-soapy_power-pyc-1.6.1-r5.apk2024-10-25 22:12 27K 
[   ]sipgrep-2.2.0-r1.apk2024-10-25 22:12 27K 
[   ]ocaml-duration-0.2.0-r2.apk2024-10-25 22:12 27K 
[   ]py3-flask-limiter-3.10.1-r0.apk2025-01-19 18:13 27K 
[   ]charls-dev-2.4.2-r0.apk2024-10-25 22:11 27K 
[   ]curlftpfs-0.9.2-r3.apk2024-10-25 22:11 27K 
[   ]php81-sodium-8.1.31-r0.apk2024-11-20 02:22 27K 
[   ]kdiskmark-lang-3.1.4-r1.apk2024-10-25 22:11 27K 
[   ]curtail-1.11.1-r0.apk2024-10-25 22:11 27K 
[   ]py3-feedgenerator-pyc-2.1.0-r2.apk2024-10-25 22:12 27K 
[   ]debconf-doc-1.5.82-r0.apk2024-10-25 22:11 27K 
[   ]nvim-web-devicons-0.100_git20241011-r0.apk2024-11-11 04:58 27K 
[   ]libcyaml-static-1.4.2-r0.apk2024-10-25 22:11 27K 
[   ]nm-tray-lang-0.5.0-r0.apk2024-10-25 22:11 27K 
[   ]tre-0.8.0-r2.apk2024-10-25 22:12 27K 
[   ]gpscorrelate-cli-2.2-r0.apk2024-11-12 12:29 27K 
[   ]php81-pecl-ssh2-1.4.1-r0.apk2024-10-25 22:12 27K 
[   ]py3-evohome-client-pyc-0.3.7-r4.apk2024-10-25 22:12 27K 
[   ]arc-dark-gnome-20221218-r0.apk2024-10-25 22:10 27K 
[   ]gtkwave-doc-3.3.120-r0.apk2024-10-25 22:11 27K 
[   ]vcsh-doc-2.0.5-r0.apk2024-10-25 22:13 27K 
[   ]sregex-dev-0.0.1-r1.apk2024-10-25 22:12 27K 
[   ]e16-doc-1.0.30-r0.apk2024-11-05 14:22 27K 
[   ]admesh-0.98.5-r0.apk2024-10-25 22:10 27K 
[   ]reaction-tools-1.4.1-r2.apk2025-01-19 12:55 27K 
[   ]contractor-0.3.5-r0.apk2024-11-12 22:54 27K 
[   ]py3-mbedtls-pyc-2.10.1-r2.apk2024-10-25 22:12 27K 
[   ]py3-dataclasses-json-0.6.7-r0.apk2024-10-25 22:12 27K 
[   ]perl-sql-abstract-more-1.43-r0.apk2024-12-30 07:37 27K 
[   ]perl-gearman-2.004.015-r3.apk2024-10-25 22:12 27K 
[   ]py3-ecos-2.0.11-r4.apk2024-10-25 22:12 27K 
[   ]perl-nice-try-1.3.15-r0.apk2024-11-07 03:16 28K 
[   ]py3-timeago-pyc-1.0.16-r0.apk2024-10-25 22:12 28K 
[   ]py3-bidict-0.23.1-r1.apk2024-10-25 22:12 28K 
[   ]py3-proxmoxer-pyc-2.2.0-r0.apk2024-12-16 12:36 28K 
[   ]caja-gtkhash-plugin-1.5-r0.apk2024-10-25 22:11 28K 
[   ]py3-mopidy-local-3.3.0-r0.apk2025-01-03 15:34 28K 
[   ]dcnnt-0.10.0-r1.apk2024-10-25 22:11 28K 
[   ]py3-dep-logic-0.4.10-r0.apk2024-12-15 23:01 28K 
[   ]py3-numpy-stl-pyc-3.2.0-r0.apk2024-12-01 03:04 28K 
[   ]py3-libmdbx-0.10.2-r7.apk2024-10-25 22:12 28K 
[   ]droidcam-gui-2.1.3-r1.apk2024-11-08 22:25 28K 
[   ]ttfautohint-1.8.4-r0.apk2024-10-25 22:13 28K 
[   ]merlin-vim-4.14-r0.apk2024-10-25 22:11 28K 
[   ]tree-sitter-vimdoc-3.0.0-r2.apk2025-01-10 07:09 28K 
[   ]octoprint-filecheck-2024.3.27-r1.apk2024-10-25 22:12 28K 
[   ]ecos-dev-2.0.10-r0.apk2024-10-25 22:11 28K 
[   ]randrctl-1.10.0-r0.apk2024-11-18 19:00 28K 
[   ]libmysofa-1.3.2-r0.apk2024-10-25 22:11 28K 
[   ]gcli-doc-2.5.0-r1.apk2025-01-03 15:34 28K 
[   ]tre-static-0.8.0-r2.apk2024-10-25 22:12 28K 
[   ]tree-sitter-ron-0.2.0-r0.apk2024-10-25 22:12 28K 
[   ]geoclue-stumbler-0.2.0-r0.apk2024-12-31 13:56 28K 
[   ]mimeo-2023-r2.apk2024-10-25 22:11 28K 
[   ]php81-zip-8.1.31-r0.apk2024-11-20 02:22 28K 
[   ]py3-wsgiprox-pyc-1.5.2-r1.apk2024-10-25 22:12 28K 
[   ]py3-helper-pyc-2.5.0-r5.apk2024-10-25 22:12 28K 
[   ]libwbxml-doc-0.11.8-r0.apk2024-10-25 22:11 28K 
[   ]throttled-pyc-0.10.0-r1.apk2024-12-15 20:26 28K 
[   ]ocaml-ca-certs-0.2.2-r2.apk2024-10-25 22:11 28K 
[   ]gmic-bash-completion-3.3.5-r1.apk2024-11-21 23:55 28K 
[   ]nlopt-octave-2.9.1-r0.apk2024-12-28 06:46 28K 
[   ]trigger-rally-doc-0.6.7-r2.apk2024-10-25 22:13 28K 
[   ]mkdocs-bootstrap-1.1.1-r2.apk2024-10-25 22:11 29K 
[   ]merlin-emacs-4.14-r0.apk2024-10-25 22:11 29K 
[   ]perl-mojolicious-plugin-openapi-5.09-r0.apk2024-10-25 22:12 29K 
[   ]perl-ffi-c-doc-0.15-r0.apk2024-10-25 22:12 29K 
[   ]arc-gnome-20221218-r0.apk2024-10-25 22:10 29K 
[   ]php83-pecl-eio-3.1.3-r0.apk2024-10-25 22:12 29K 
[   ]py3-bidict-pyc-0.23.1-r1.apk2024-10-25 22:12 29K 
[   ]musikcube-plugin-supereqdsp-3.0.4-r0.apk2024-10-25 22:11 29K 
[   ]py3-rospkg-1.2.9-r5.apk2024-10-25 22:12 29K 
[   ]py3-tokenizers-pyc-0.21.0-r0.apk2024-11-27 20:54 29K 
[   ]dwl-0.7-r0.apk2024-10-25 22:11 29K 
[   ]qt-jdenticon-0.3.1-r0.apk2024-10-25 22:12 29K 
[   ]py3-sphinx-autodoc-typehints-pyc-3.0.1-r0.apk2025-01-19 12:55 29K 
[   ]perl-xml-bare-0.53-r13.apk2024-10-25 22:12 29K 
[   ]pounce-3.1-r3.apk2024-10-25 22:12 29K 
[   ]emacs-powerline-2.4_git20221110-r0.apk2024-10-25 22:11 29K 
[   ]py3-zipfile2-pyc-0.0.12-r0.apk2024-10-25 22:12 29K 
[   ]ocaml-lwt_ssl-1.2.0-r0.apk2024-10-25 22:12 29K 
[   ]bump2version-pyc-1.0.1-r6.apk2024-10-25 22:11 29K 
[   ]oblibs-0.3.1.1-r0.apk2025-01-11 11:19 29K 
[   ]py3-altgraph-pyc-0.17.4-r1.apk2024-10-25 22:12 29K 
[   ]rvlprog-0.91-r2.apk2024-10-25 22:12 29K 
[   ]ocaml-hex-1.5.0-r2.apk2024-10-25 22:12 29K 
[   ]perl-database-async-doc-0.019-r0.apk2024-10-25 22:12 29K 
[   ]ktx-dev-4.3.2-r0.apk2024-10-25 22:11 29K 
[   ]jdupes-1.28.0-r0.apk2024-10-25 22:11 29K 
[   ]fpp-0.9.5-r0.apk2024-10-25 22:11 29K 
[   ]irctk-1.1.0-r0.apk2024-10-25 22:11 29K 
[   ]octoprint-firmwarecheck-2021.10.11-r2.apk2024-10-25 22:12 29K 
[   ]libguestfs-dev-1.52.0-r1.apk2024-10-25 22:11 29K 
[   ]py3-aiodocker-0.21.0-r1.apk2024-10-25 22:12 29K 
[   ]py3-json5-pyc-0.9.25-r0.apk2024-11-30 22:09 29K 
[   ]py3-pymata-pyc-2.20-r4.apk2024-10-25 22:12 29K 
[   ]lua5.1-lcurses-9.0.0-r0.apk2024-10-25 22:11 29K 
[   ]perl-algorithm-backoff-doc-0.010-r0.apk2024-10-25 22:12 29K 
[   ]libnxml-dev-0.18.3-r0.apk2024-10-25 22:11 29K 
[   ]py3-liblarch-3.2.0-r6.apk2024-12-08 22:39 30K 
[   ]perl-sql-abstract-classic-1.91-r1.apk2024-10-25 22:12 30K 
[   ]fakeroot-tcp-1.32.1-r1.apk2024-10-25 22:11 30K 
[   ]qoiconv-0.0.0_git20230312-r0.apk2024-10-25 22:12 30K 
[   ]py3-gtkspellcheck-pyc-5.0.3-r0.apk2024-12-07 21:32 30K 
[   ]py3-aiosasl-0.5.0-r4.apk2024-10-25 22:12 30K 
[   ]materia-light-kde-kvantum-20220823-r0.apk2024-10-25 22:11 30K 
[   ]blip-doc-0.10-r0.apk2024-10-25 22:11 30K 
[   ]lxappearance-0.6.3-r3.apk2024-10-25 22:11 30K 
[   ]ocaml-mirage-clock-4.2.0-r2.apk2024-10-25 22:12 30K 
[   ]slidge-matridge-0.1.0-r0.apk2024-10-25 22:12 30K 
[   ]it87-src-1_p20240609-r0.apk2024-10-25 22:11 30K 
[   ]jbigkit-dev-2.1-r2.apk2024-10-25 22:11 30K 
[   ]nvim-treesitter-doc-0.9.3-r0.apk2024-11-04 04:05 30K 
[   ]rtptools-1.22-r2.apk2024-10-25 22:12 30K 
[   ]cliquer-static-1.22-r2.apk2024-10-25 22:11 30K 
[   ]dnscrypt-wrapper-0.4.2-r3.apk2024-10-25 22:11 30K 
[   ]py3-findpython-pyc-0.6.2-r0.apk2024-10-25 22:12 30K 
[   ]py3-sphinx-theme-epfl-1.1.1-r9.apk2024-10-25 22:12 30K 
[   ]py3-livestream-pyc-2.1.0-r0.apk2024-11-25 23:22 30K 
[   ]php82-pecl-runkit7-4.0.0_alpha6-r1.apk2024-10-25 22:12 30K 
[   ]py3-spotipy-2.24.0-r1.apk2024-10-25 22:12 30K 
[   ]materia-kde-kvantum-20220823-r0.apk2024-10-25 22:11 30K 
[   ]libcork-dev-0.15.0-r7.apk2024-10-25 22:11 30K 
[   ]materia-dark-kde-kvantum-20220823-r0.apk2024-10-25 22:11 30K 
[   ]py3-pytest-regtest-pyc-2.3.2-r0.apk2024-11-14 00:09 30K 
[   ]startup-bridge-udev-2.0.3-r5.apk2024-10-25 22:12 30K 
[   ]py3-spake2-0.9-r0.apk2024-10-25 22:12 30K 
[   ]libaudec-0.3.4-r3.apk2024-10-25 22:11 30K 
[   ]fatback-1.3-r2.apk2024-10-25 22:11 30K 
[   ]crossplane-0.5.8-r3.apk2024-10-25 22:11 30K 
[   ]lomiri-indicator-location-0_git20231227-r0.apk2024-10-25 22:11 30K 
[   ]startup-bridge-dconf-2.0.3-r5.apk2024-10-25 22:12 30K 
[   ]ffms2-doc-5.0-r0.apk2024-10-25 22:11 30K 
[   ]py3-pyte-0.8.2-r2.apk2024-10-25 22:12 30K 
[   ]perl-statistics-descriptive-3.0801-r0.apk2024-10-25 22:12 30K 
[   ]par-doc-1.53.0-r1.apk2024-10-25 22:12 30K 
[   ]libxml++-dev-5.0.3-r1.apk2024-10-25 22:11 30K 
[   ]py3-libnacl-pyc-2.1.0-r1.apk2024-10-25 22:12 30K 
[   ]py3-gevent-websocket-pyc-0.10.1-r8.apk2024-10-25 22:12 30K 
[   ]libaudec-tools-0.3.4-r3.apk2024-10-25 22:11 30K 
[   ]php81-exif-8.1.31-r0.apk2024-11-20 02:22 30K 
[   ]libibmad-1.3.13-r2.apk2024-10-25 22:11 30K 
[   ]a2jmidid-9-r3.apk2024-10-25 22:10 31K 
[   ]nvim-lualine-doc-0.0.0_git20241101-r0.apk2024-11-14 00:09 31K 
[   ]soapy-hackrf-0.3.4-r2.apk2024-10-25 22:12 31K 
[   ]tang-dbg-15-r0.apk2025-01-20 05:17 31K 
[   ]rdrview-0.1.2-r0.apk2024-10-25 22:12 31K 
[   ]ocp-index-doc-1.3.6-r0.apk2024-10-25 22:12 31K 
[   ]libsigrok-dev-0.5.2-r3.apk2024-10-25 22:11 31K 
[   ]ovos-gui-1.1.0-r0.apk2024-11-25 13:41 31K 
[   ]tree-sitter-xml-0.7.0-r0.apk2024-11-14 14:12 31K 
[   ]ldapdomaindump-pyc-0.9.4-r1.apk2024-10-25 22:11 31K 
[   ]py3-rich-click-1.7.3-r1.apk2024-10-25 22:12 31K 
[   ]ruby-simplecov-0.22.0-r0.apk2024-10-25 22:12 31K 
[   ]py3-pymata4-pyc-1.15-r4.apk2024-10-25 22:12 31K 
[   ]py3-qbittorrent-api-doc-2024.12.71-r0.apk2024-12-23 22:32 31K 
[   ]octoprint-pisupport-2023.10.10-r1.apk2024-10-25 22:12 31K 
[   ]unit-php81-1.34.1-r0.apk2025-01-11 21:01 31K 
[   ]perl-time-moment-doc-0.44-r0.apk2024-10-25 22:12 31K 
[   ]perl-barcode-zbar-0.10-r3.apk2024-10-25 22:12 31K 
[   ]py3-recurring-ical-events-3.4.1-r0.apk2025-01-19 22:38 31K 
[   ]tabby-3.1-r1.apk2024-10-25 22:12 31K 
[   ]py3-telemetrix-pyc-1.20-r3.apk2024-10-25 22:12 31K 
[   ]libbamf-doc-0.5.6-r1.apk2024-10-25 22:11 31K 
[   ]perl-file-mmagic-xs-0.09008-r4.apk2024-10-25 22:12 31K 
[   ]randrctl-pyc-1.10.0-r0.apk2024-11-18 19:00 31K 
[   ]ccrtp-doc-2.1.2-r0.apk2024-10-25 22:11 31K 
[   ]plplot-5.15.0-r2.apk2024-10-25 22:12 31K 
[   ]lomiri-download-manager-lang-0.1.3-r3.apk2024-10-25 22:11 31K 
[   ]libfort-0.4.2-r0.apk2024-10-25 22:11 31K 
[   ]lizardfs-cgi-3.13.0-r14.apk2024-12-03 15:32 31K 
[   ]php81-pecl-luasandbox-4.1.2-r0.apk2024-10-25 22:12 31K 
[   ]createrepo_c-dev-1.1.4-r0.apk2024-10-25 22:11 31K 
[   ]perl-git-repository-doc-1.325-r0.apk2024-10-25 22:12 32K 
[   ]ocaml-ezxmlm-1.1.0-r0.apk2024-10-25 22:12 32K 
[   ]py3-radon-6.0.1-r2.apk2024-10-25 22:12 32K 
[   ]materia-gnome-shell-20210322-r1.apk2024-10-25 22:11 32K 
[   ]materia-dark-gnome-shell-20210322-r1.apk2024-10-25 22:11 32K 
[   ]py3-pymeta3-pyc-0.5.1-r6.apk2024-10-25 22:12 32K 
[   ]lomiri-location-service-dev-3.1.0-r7.apk2024-10-25 22:11 32K 
[   ]php83-pecl-zmq-1.1.4-r0.apk2024-10-25 22:12 32K 
[   ]ocaml-mirage-profile-dev-0.9.1-r3.apk2024-10-25 22:12 32K 
[   ]libm4ri-dev-20240729-r2.apk2025-01-15 19:23 32K 
[   ]materia-dark-compact-gnome-shell-20210322-r1.apk2024-10-25 22:11 32K 
[   ]materia-compact-gnome-shell-20210322-r1.apk2024-10-25 22:11 32K 
[   ]py3-pymaging-pyc-0.0.20130908-r10.apk2024-10-25 22:12 32K 
[   ]mm-common-doc-1.0.5-r0.apk2024-10-25 22:11 32K 
[   ]scrypt-1.3.2-r0.apk2024-10-25 22:12 32K 
[   ]mnamer-2.5.5-r1.apk2024-10-25 22:11 32K 
[   ]zita-njbridge-0.4.8-r1.apk2024-10-25 22:13 32K 
[   ]py3-pysonic-pyc-1.0.1-r1.apk2024-10-25 22:12 32K 
[   ]primecount-7.14-r0.apk2024-10-25 22:12 32K 
[   ]rtmidi-6.0.0-r0.apk2024-10-25 22:12 32K 
[   ]lomiri-trust-store-lang-2.0.2-r6.apk2024-10-25 22:11 32K 
[   ]bgpq4-1.15-r0.apk2024-10-25 22:11 32K 
[   ]mp3gain-1.6.2-r3.apk2025-01-03 17:48 32K 
[   ]py3-nptyping-pyc-2.5.0-r3.apk2024-10-25 22:12 32K 
[   ]libsymmetrica-dev-3.0.1-r2.apk2024-10-25 22:11 32K 
[   ]lomiri-api-dev-0.2.2-r0.apk2025-01-10 11:15 32K 
[   ]jhead-3.08-r0.apk2024-10-25 22:11 32K 
[   ]py3-c3d-0.5.2-r1.apk2024-10-25 22:12 32K 
[   ]libcli-1.10.7-r0.apk2024-10-25 22:11 32K 
[   ]tcl-curl-7.22.0-r0.apk2024-10-25 22:12 32K 
[   ]py3-yapsy-1.12.2-r7.apk2024-10-25 22:12 32K 
[   ]py3-furl-pyc-2.1.3-r4.apk2024-10-25 22:12 32K 
[   ]handlebars-dev-1.0.0-r1.apk2024-10-25 22:11 32K 
[   ]linuxptp-nsm-4.4-r0.apk2024-11-18 19:00 32K 
[   ]btfs-2.24-r12.apk2024-10-25 22:11 32K 
[   ]py3-lunr-0.6.2-r4.apk2024-10-25 22:12 32K 
[   ]py3-django-suit-pyc-0.2.28-r8.apk2024-10-25 22:12 33K 
[   ]py3-euclid3-pyc-0.01-r8.apk2024-10-25 22:12 33K 
[   ]perl-css-object-doc-0.2.0-r0.apk2024-10-25 22:12 33K 
[   ]dfu-programmer-1.1.0-r0.apk2024-10-25 22:11 33K 
[   ]py3-qt.py-1.3.10-r1.apk2024-10-25 22:12 33K 
[   ]paprefs-1.2-r2.apk2024-11-22 23:04 33K 
[   ]perl-opentracing-doc-1.006-r0.apk2024-10-25 22:12 33K 
[   ]tree-sitter-hare-0_git20230616-r1.apk2024-10-25 22:12 33K 
[   ]py3-ffmpeg-pyc-0.2.0-r4.apk2024-10-25 22:12 33K 
[   ]py3-libmdbx-pyc-0.10.2-r7.apk2024-10-25 22:12 33K 
[   ]hddfancontrol-1.6.2-r0.apk2024-10-25 22:11 33K 
[   ]php81-pecl-igbinary-3.2.16-r0.apk2024-10-25 22:12 33K 
[   ]libaudec-static-0.3.4-r3.apk2024-10-25 22:11 33K 
[   ]cluster-glue-doc-1.0.12-r5.apk2024-10-25 22:11 33K 
[   ]perl-mastodon-client-doc-0.017-r0.apk2024-10-25 22:12 33K 
[   ]swappy-1.5.1-r0.apk2024-10-25 22:12 33K 
[   ]libmrss-dev-0.19.2-r1.apk2024-10-25 22:11 33K 
[   ]pptpclient-1.10.0-r5.apk2024-10-25 22:12 33K 
[   ]urlwatch-doc-2.28-r2.apk2024-10-25 22:13 33K 
[   ]mpop-doc-1.4.21-r0.apk2025-01-14 06:15 33K 
[   ]perl-json-validator-doc-5.14-r0.apk2024-10-25 22:12 33K 
[   ]lomiri-indicator-location-lang-0_git20231227-r0.apk2024-10-25 22:11 33K 
[   ]py3-pathvalidate-pyc-3.2.3-r0.apk2025-01-06 11:40 33K 
[   ]py3-recurring-ical-events-pyc-3.4.1-r0.apk2025-01-19 22:38 33K 
[   ]py3-pltable-pyc-1.1.0-r1.apk2024-11-13 07:38 33K 
[   ]py3-limits-3.14.1-r0.apk2024-12-25 17:22 33K 
[   ]py3-sphinxcontrib-cartouche-pyc-1.1.2-r7.apk2024-10-25 22:12 34K 
[   ]i3status-rust-doc-0.33.2-r0.apk2024-11-11 04:20 34K 
[   ]php81-ldap-8.1.31-r0.apk2024-11-20 02:22 34K 
[   ]elementary-camera-lang-8.0.0-r0.apk2024-10-27 15:19 34K 
[   ]py3-xapp-2.4.2-r0.apk2024-11-12 12:29 34K 
[   ]libqtdbustest-0.3.3-r0.apk2025-01-10 11:15 34K 
[   ]py3-unicorn-2.0.1-r4.apk2024-10-25 22:12 34K 
[   ]libnbcompat-1.0.2-r0.apk2024-10-25 22:11 34K 
[   ]py3-dominate-pyc-2.9.1-r1.apk2024-10-25 22:12 34K 
[   ]py3-hishel-0.0.33-r0.apk2024-10-25 22:12 34K 
[   ]tiptop-2.3.1-r2.apk2024-10-25 22:12 34K 
[   ]perl-mojolicious-plugin-openapi-doc-5.09-r0.apk2024-10-25 22:12 34K 
[   ]jack_capture-0.9.73_git20210429-r2.apk2024-10-25 22:11 34K 
[   ]py3-sphinxcontrib-httpdomain-pyc-1.8.1-r3.apk2024-10-25 22:12 34K 
[   ]aufs-util-doc-20161219-r3.apk2024-10-25 22:10 34K 
[   ]py3-ovos-config-pyc-1.0.0-r0.apk2024-11-25 13:41 34K 
[   ]trantor-dev-1.5.18-r0.apk2024-10-25 22:12 34K 
[   ]py3-trivup-0.12.2-r2.apk2024-10-25 22:12 34K 
[   ]hddfancontrol-pyc-1.6.2-r0.apk2024-10-25 22:11 34K 
[   ]py3-mopidy-local-pyc-3.3.0-r0.apk2025-01-03 15:34 34K 
[   ]dfl-sni-0.2.0-r0.apk2024-10-25 22:11 34K 
[   ]py3-piccata-pyc-2.0.3-r1.apk2024-10-25 22:12 34K 
[   ]foolsm-1.0.21-r0.apk2024-10-25 22:11 34K 
[   ]aravis-dev-0.8.31-r0.apk2024-10-25 22:10 34K 
[   ]caffeine-ng-lang-4.2.0-r1.apk2024-10-25 22:11 34K 
[   ]bcg729-1.1.1-r0.apk2024-10-25 22:10 34K 
[   ]moosefs-metalogger-3.0.117-r2.apk2024-10-25 22:11 34K 
[   ]sblim-sfcc-doc-2.2.8-r3.apk2024-10-25 22:12 35K 
[   ]jalv-gtk-1.6.8-r1.apk2024-10-25 22:11 35K 
[   ]opentelemetry-cpp-exporter-otlp-common-1.11.0-r5.apk2024-11-11 19:20 35K 
[   ]ruff-lsp-pyc-0.0.53-r0.apk2024-10-25 22:12 35K 
[   ]ocaml-mirage-clock-dev-4.2.0-r2.apk2024-10-25 22:12 35K 
[   ]py3-pysonic-1.0.1-r1.apk2024-10-25 22:12 35K 
[   ]pimd-doc-3.0_git20220201-r0.apk2024-10-25 22:12 35K 
[   ]nwg-displays-pyc-0.3.13-r1.apk2024-10-25 22:11 35K 
[   ]py3-librtmp-0.3.0-r6.apk2024-10-25 22:12 35K 
[   ]vcstool-0.3.0-r5.apk2024-10-25 22:13 35K 
[   ]mint-x-theme-xfwm4-2.1.1-r0.apk2024-10-25 22:11 35K 
[   ]perl-math-int64-0.57-r1.apk2024-10-25 22:12 35K 
[   ]fox-calculator-1.6.57-r0.apk2024-10-25 22:11 35K 
[   ]dnsperf-doc-2.14.0-r0.apk2024-10-25 22:11 35K 
[   ]linuxptp-pmc-4.4-r0.apk2024-11-18 19:00 35K 
[   ]py3-latex2mathml-pyc-3.77.0-r1.apk2024-10-25 22:12 35K 
[   ]php81-pecl-oauth-2.0.9-r0.apk2024-10-25 22:12 35K 
[   ]py3-bitstruct-8.19.0-r1.apk2024-10-25 22:12 35K 
[   ]py3-pymaging-png-0.0.20130727-r10.apk2024-10-25 22:12 35K 
[   ]srain-lang-1.8.0-r0.apk2024-11-18 20:18 35K 
[   ]php81-imap-8.1.31-r0.apk2024-11-20 02:22 35K 
[   ]py3-pyautogui-0.9.53-r5.apk2024-10-25 22:12 35K 
[   ]qperf-0.4.11-r1.apk2024-10-25 22:12 35K 
[   ]php82-pecl-oauth-2.0.9-r0.apk2024-10-25 22:12 35K 
[   ]ocaml-rresult-dev-0.7.0-r2.apk2024-10-25 22:12 35K 
[   ]php83-pecl-oauth-2.0.9-r0.apk2024-10-25 22:12 35K 
[   ]php84-pecl-oauth-2.0.9-r0.apk2024-10-25 22:12 35K 
[   ]luapak-0.1.0_beta5-r0.apk2024-10-25 22:11 35K 
[   ]lshell-pyc-0.9.18-r11.apk2024-10-25 22:11 35K 
[   ]musikcube-plugin-openmpt-3.0.4-r0.apk2024-10-25 22:11 35K 
[   ]usbmuxd-1.1.1-r8.apk2024-10-30 23:44 35K 
[   ]py3-cookiecutter-2.6.0-r1.apk2024-10-25 22:12 35K 
[   ]mat2-0.13.4-r3.apk2024-10-25 22:11 35K 
[   ]perl-ryu-doc-4.001-r0.apk2024-10-25 22:12 35K 
[   ]autoremove-torrents-1.5.5-r0.apk2024-10-25 22:10 35K 
[   ]py3-pillow_heif-pyc-0.18.0-r0.apk2024-10-25 22:12 36K 
[   ]py3-tidalapi-0.7.4-r1.apk2024-10-25 22:12 36K 
[   ]razercfg-pyc-0.42-r7.apk2024-10-25 22:12 36K 
[   ]py3-mopidy-tidal-pyc-0.3.2-r6.apk2024-10-25 22:12 36K 
[   ]dfl-applications-0.2.0-r0.apk2024-10-25 22:11 36K 
[   ]py3-dataclasses-json-pyc-0.6.7-r0.apk2024-10-25 22:12 36K 
[   ]gutenprint-dev-5.3.4-r5.apk2024-10-25 22:11 36K 
[   ]litterbox-1.9-r1.apk2024-10-25 22:11 36K 
[   ]perl-protocol-database-postgresql-doc-2.001-r0.apk2024-10-25 22:12 36K 
[   ]ocaml-gmap-0.3.0-r2.apk2024-10-25 22:12 36K 
[   ]cocogitto-doc-6.2.0-r1.apk2024-12-12 22:08 36K 
[   ]py3-pysubs2-1.8.0-r0.apk2024-12-25 23:09 36K 
[   ]crazydiskinfo-1.1.0-r1.apk2024-10-25 22:11 36K 
[   ]py3-mando-pyc-0.7.1-r3.apk2024-10-25 22:12 36K 
[   ]opentelemetry-cpp-exporter-otlp-grpc-1.11.0-r5.apk2024-11-11 19:20 36K 
[   ]lomiri-api-0.2.2-r0.apk2025-01-10 11:15 36K 
[   ]gmcapsule-0.9.7-r0.apk2025-01-08 20:12 36K 
[   ]ovos-audio-pyc-0.3.1-r0.apk2024-11-21 14:32 36K 
[   ]py3-cstruct-pyc-5.3-r1.apk2024-10-25 22:12 36K 
[   ]abi-dumper-1.4-r1.apk2025-01-19 12:55 36K 
[   ]cddlib-tools-0.94m-r2.apk2024-10-25 22:11 36K 
[   ]ansible-bender-0.10.1-r2.apk2024-10-25 22:10 36K 
[   ]burp-server-3.1.4-r0.apk2024-10-25 22:11 36K 
[   ]py3-pytaglib-1.5.0-r3.apk2024-10-25 22:12 36K 
[   ]libcork-0.15.0-r7.apk2024-10-25 22:11 36K 
[   ]lshell-0.9.18-r11.apk2024-10-25 22:11 36K 
[   ]endless-sky-doc-0.10.2-r0.apk2024-10-25 22:11 37K 
[   ]py3-pyqrcode-1.2.1-r0.apk2024-10-25 22:12 37K 
[   ]py3-mopidy-jellyfin-pyc-1.0.4-r4.apk2024-10-25 22:12 37K 
[   ]php81-pecl-rdkafka-6.0.5-r0.apk2024-11-04 13:52 37K 
[   ]ttdl-doc-4.7.0-r0.apk2024-11-26 20:05 37K 
[   ]py3-redmine-2.5.0-r0.apk2024-10-25 22:12 37K 
[   ]emacs-epkg-3.3.3_git20240713-r0.apk2024-10-25 22:11 37K 
[   ]lomiri-calculator-app-lang-4.0.2-r0.apk2024-10-25 22:11 37K 
[   ]perl-test-unit-0.27-r0.apk2024-10-25 22:12 37K 
[   ]alttab-1.7.1-r0.apk2024-10-25 22:10 37K 
[   ]py3-qasync-0.19.0-r2.apk2024-10-25 22:12 37K 
[   ]libretro-freeintv-0_git20220319-r0.apk2024-10-25 22:11 37K 
[   ]libserialport-dev-0.1.1-r1.apk2024-10-25 22:11 37K 
[   ]py3-astral-3.2-r3.apk2024-10-25 22:12 37K 
[   ]perl-libapreq2-doc-2.17-r2.apk2024-10-25 22:12 37K 
[   ]py3-pygpgme-0.3.1-r9.apk2024-10-25 22:12 37K 
[   ]py3-rpio-0.10.1-r8.apk2024-10-25 22:12 37K 
[   ]csol-1.6.0-r0.apk2024-10-25 22:11 37K 
[   ]py3-aesedb-0.1.6-r2.apk2024-10-25 22:12 37K 
[   ]jedi-language-server-pyc-0.43.1-r0.apk2025-01-04 02:41 37K 
[   ]moon-buggy-1.0.51-r1.apk2024-10-25 22:11 37K 
[   ]php81-pecl-xmlrpc-1.0.0_rc3-r2.apk2024-10-25 22:12 37K 
[   ]py3-dexml-pyc-0.5.1-r9.apk2024-10-25 22:12 37K 
[   ]perl-statistics-descriptive-doc-3.0801-r0.apk2024-10-25 22:12 38K 
[   ]libmedc-dev-4.1.1-r4.apk2024-10-25 22:11 38K 
[   ]arc-gtk2-20221218-r0.apk2024-10-25 22:10 38K 
[   ]arc-lighter-gtk2-20221218-r0.apk2024-10-25 22:10 38K 
[   ]symbiyosys-0.36-r0.apk2024-10-25 22:12 38K 
[   ]apk-tools3-doc-3.0.0_pre3_git20241029-r0.apk2024-10-30 06:03 38K 
[   ]tcl-curl-doc-7.22.0-r0.apk2024-10-25 22:12 38K 
[   ]py3-pure_protobuf-pyc-3.1.2-r0.apk2024-10-25 22:12 38K 
[   ]musikcube-plugin-taglibreader-3.0.4-r0.apk2024-10-25 22:11 38K 
[   ]materia-dark-compact-gtk2-20210322-r1.apk2024-10-25 22:11 38K 
[   ]materia-dark-gtk2-20210322-r1.apk2024-10-25 22:11 38K 
[   ]py3-sh-2.1.0-r0.apk2024-11-01 16:14 38K 
[   ]materia-gtk2-20210322-r1.apk2024-10-25 22:11 38K 
[   ]materia-compact-gtk2-20210322-r1.apk2024-10-25 22:11 38K 
[   ]wbg-1.2.0-r0.apk2024-10-25 22:13 38K 
[   ]libzrtpcpp-dev-4.7.0-r0.apk2025-01-04 22:55 38K 
[   ]opensm-doc-3.3.24-r2.apk2024-10-25 22:12 38K 
[   ]paprefs-lang-1.2-r2.apk2024-11-22 23:04 38K 
[   ]libmdf-1.0.29-r0.apk2024-10-25 22:11 38K 
[   ]slidge-matridge-pyc-0.1.0-r0.apk2024-10-25 22:12 38K 
[   ]lyrics-in-terminal-1.7.0-r0.apk2025-01-03 15:34 38K 
[   ]logwatch-doc-7.10-r1.apk2024-10-25 22:11 38K 
[   ]lomiri-url-dispatcher-0.1.3-r2.apk2024-10-25 22:11 38K 
[   ]ocaml-rresult-0.7.0-r2.apk2024-10-25 22:12 38K 
[   ]perl-math-random-0.72-r0.apk2024-10-25 22:12 38K 
[   ]php81-sockets-8.1.31-r0.apk2024-11-20 02:22 38K 
[   ]linuxptp-ts2phc-4.4-r0.apk2024-11-18 19:00 38K 
[   ]ovos-gui-pyc-1.1.0-r0.apk2024-11-25 13:41 38K 
[   ]arc-dark-gtk2-20221218-r0.apk2024-10-25 22:10 38K 
[   ]zycore-dev-1.5.0-r0.apk2024-10-25 22:13 38K 
[   ]py3-fastdiff-0.3.0-r5.apk2024-10-25 22:12 38K 
[   ]linuxptp-doc-4.4-r0.apk2024-11-18 19:00 38K 
[   ]libsigrokdecode-dev-0.5.3-r4.apk2024-10-25 22:11 38K 
[   ]repo-doc-2.50.1-r0.apk2024-12-20 21:12 38K 
[   ]php81-curl-8.1.31-r0.apk2024-11-20 02:22 38K 
[   ]arc-darker-gtk2-20221218-r0.apk2024-10-25 22:10 39K 
[   ]ocaml-happy-eyeballs-lwt-0.3.0-r3.apk2024-10-25 22:12 39K 
[   ]libctl-dev-4.5.1-r1.apk2024-10-25 22:11 39K 
[   ]spnavcfg-1.1-r0.apk2024-10-25 22:12 39K 
[   ]ghc-filesystem-1.5.14-r0.apk2024-10-25 22:11 39K 
[   ]ecasound-doc-2.9.3-r3.apk2024-10-25 22:11 39K 
[   ]howard-bc-doc-7.0.3-r0.apk2024-10-25 22:11 39K 
[   ]libbsoncxx-dev-3.8.0-r0.apk2024-10-25 22:11 39K 
[   ]py3-twiggy-pyc-0.5.1-r4.apk2024-10-25 22:12 39K 
[   ]plfit-libs-1.0.1-r0.apk2025-01-04 04:47 39K 
[   ]py3-python-iptables-1.0.1-r1.apk2024-10-25 22:12 39K 
[   ]log4cpp-dev-1.1.4-r1.apk2024-10-25 22:11 39K 
[   ]nfoview-2.0.1-r0.apk2024-10-25 22:11 39K 
[   ]py3-sphobjinv-2.3.1.2-r0.apk2024-12-28 19:13 39K 
[   ]66-tools-doc-0.1.1.0-r0.apk2025-01-12 14:12 39K 
[   ]avra-1.4.2-r0.apk2024-10-25 22:10 39K 
[   ]theme.sh-1.1.5-r0.apk2024-10-25 22:12 39K 
[   ]py3-manuel-1.13.0-r0.apk2024-11-30 21:14 39K 
[   ]py3-zope-configuration-5.0.1-r2.apk2024-10-25 22:12 39K 
[   ]php81-session-8.1.31-r0.apk2024-11-20 02:22 39K 
[   ]linuxptp-phc2sys-4.4-r0.apk2024-11-18 19:00 39K 
[   ]varnish-modules-0.24.0-r0.apk2024-10-25 22:13 39K 
[   ]xfce4-hamster-plugin-1.17-r0.apk2024-10-25 22:13 39K 
[   ]prjtrellis-db-machxo-0_git20230929-r0.apk2024-10-25 22:12 39K 
[   ]crossplane-pyc-0.5.8-r3.apk2024-10-25 22:11 39K 
[   ]turnstile-0.1.10-r3.apk2024-10-25 22:13 39K 
[   ]perl-net-curl-doc-0.56-r1.apk2024-10-25 22:12 39K 
[   ]sndfile-tools-1.5-r1.apk2024-10-25 22:12 39K 
[   ]py3-empy-3.3.4-r7.apk2024-10-25 22:12 39K 
[   ]py3-pyte-pyc-0.8.2-r2.apk2024-10-25 22:12 39K 
[   ]sturmreader-lang-3.7.2-r0.apk2024-10-25 22:12 39K 
[   ]py3-compdb-pyc-0.2.0-r8.apk2024-10-25 22:12 40K 
[   ]py3-fpdf-1.7.2-r5.apk2024-10-25 22:12 40K 
[   ]pebble-le-dev-0.3.0-r2.apk2024-12-14 20:46 40K 
[   ]apache2-mod-perl-dev-2.0.13-r1.apk2024-10-25 22:10 40K 
[   ]ocaml-ca-certs-dev-0.2.2-r2.apk2024-10-25 22:11 40K 
[   ]peg-0.1.18-r1.apk2024-10-25 22:12 40K 
[   ]ocaml-lwt-dllist-dev-1.0.1-r3.apk2024-10-25 22:12 40K 
[   ]libmpfi-1.5.4-r2.apk2024-10-25 22:11 40K 
[   ]py3-feedgen-1.0.0-r1.apk2024-10-25 22:12 40K 
[   ]py3-pyzor-1.0.0-r11.apk2024-10-25 22:12 40K 
[   ]py3-bibtexparser-1.4.3-r0.apk2025-01-19 12:55 40K 
[   ]solanum-lang-5.0.0-r0.apk2024-10-25 22:12 40K 
[   ]py3-rich-click-pyc-1.7.3-r1.apk2024-10-25 22:12 40K 
[   ]liberasurecode-1.6.3-r1.apk2024-10-25 22:11 40K 
[   ]ppl-1.2-r1.apk2024-10-25 22:12 40K 
[   ]py3-pyvcd-pyc-0.4.1-r0.apk2024-11-11 22:46 40K 
[   ]gmenuharness-0.1.4-r1.apk2024-10-25 22:11 40K 
[   ]darts-clone-0_git20181117-r0.apk2024-10-25 22:11 40K 
[   ]py3-flask-restless-0.17.0-r9.apk2024-10-25 22:12 40K 
[   ]edam-1.0.2-r0.apk2025-01-07 16:32 41K 
[   ]sflowtool-6.02-r0.apk2024-10-25 22:12 41K 
[   ]pixiewps-1.4.2-r1.apk2024-10-25 22:12 41K 
[   ]supercollider-dev-3.13.0-r5.apk2024-10-25 22:12 41K 
[   ]py3-ward-0.67.0_beta0-r2.apk2024-10-25 22:12 41K 
[   ]youtube-viewer-doc-3.11.1-r0.apk2024-10-25 22:13 41K 
[   ]watchdog-5.16-r2.apk2024-10-25 22:13 41K 
[   ]gupnp-av-dev-0.14.3-r0.apk2025-01-16 00:26 41K 
[   ]py3-irc-20.4.1-r0.apk2024-10-25 22:12 41K 
[   ]tree-sitter-make-0_git20211216-r2.apk2024-10-25 22:12 41K 
[   ]yices2-dev-2.6.4-r0.apk2024-10-25 22:13 41K 
[   ]openfortivpn-1.22.1-r0.apk2024-12-11 22:31 41K 
[   ]py3-sqlmodel-pyc-0.0.22-r1.apk2024-12-07 00:03 41K 
[   ]materia-dark-compact-gtk3-20210322-r1.apk2024-10-25 22:11 41K 
[   ]materia-dark-gtk3-20210322-r1.apk2024-10-25 22:11 41K 
[   ]py3-unearth-0.17.2-r0.apk2024-10-25 22:12 41K 
[   ]ecos-2.0.10-r0.apk2024-10-25 22:11 41K 
[   ]mimeo-pyc-2023-r2.apk2024-10-25 22:11 42K 
[   ]imediff-2.6-r1.apk2024-10-25 22:11 42K 
[   ]litehtml-dev-0.9-r1.apk2025-01-04 05:37 42K 
[   ]cava-0.10.3-r0.apk2024-11-18 19:00 42K 
[   ]php83-pecl-ev-1.2.0-r0.apk2024-10-25 22:12 42K 
[   ]php84-pecl-ev-1.2.0-r1.apk2024-10-25 22:12 42K 
[   ]gf2x-1.3.0-r1.apk2024-10-25 22:11 42K 
[   ]py3-milc-pyc-1.9.0-r0.apk2024-10-25 22:12 42K 
[   ]mergerfs-doc-2.40.2-r1.apk2024-10-25 22:11 42K 
[   ]kismet-nrf-51822-0.202307.1-r4.apk2024-11-11 19:20 42K 
[   ]hub-doc-2.14.2-r27.apk2025-01-19 12:55 42K 
[   ]azpainter-doc-3.0.9-r0.apk2024-10-25 22:10 42K 
[   ]php81-mysqli-8.1.31-r0.apk2024-11-20 02:22 42K 
[   ]tree-sitter-hcl-1.1.0-r1.apk2024-10-25 22:12 42K 
[   ]php81-pecl-immutable_cache-6.1.0-r0.apk2024-10-25 22:12 42K 
[   ]libqofono-dev-0.124-r0.apk2025-01-10 13:38 42K 
[   ]tree-sitter-gleam-1.0.0-r0.apk2024-10-25 22:12 42K 
[   ]lomiri-content-hub-lang-2.0.0-r0.apk2024-10-25 22:11 42K 
[   ]php83-pecl-phpy-1.0.8-r0.apk2024-10-25 22:12 42K 
[   ]ocaml-ethernet-3.0.0-r3.apk2024-10-25 22:12 42K 
[   ]py3-pyparted-pyc-3.13.0-r1.apk2024-10-25 22:12 42K 
[   ]git-revise-pyc-0.7.0-r5.apk2024-10-25 22:11 42K 
[   ]py3-seqdiag-pyc-3.0.0-r5.apk2024-10-25 22:12 42K 
[   ]ocaml-stringext-1.6.0-r2.apk2024-10-25 22:12 42K 
[   ]py3-openwisp-utils-pyc-1.0.4-r4.apk2024-10-25 22:12 42K 
[   ]php82-pecl-immutable_cache-6.1.0-r0.apk2024-10-25 22:12 42K 
[   ]sstp-client-1.0.20-r1.apk2024-12-01 16:37 42K 
[   ]libfyaml-dev-0.9-r0.apk2024-10-25 22:11 42K 
[   ]py3-createrepo_c-1.1.4-r0.apk2024-10-25 22:12 42K 
[   ]nuklear-doc-4.12.0-r0.apk2024-10-25 22:11 42K 
[   ]py3-colander-pyc-2.0-r2.apk2024-10-25 22:12 42K 
[   ]uxn-1.0-r0.apk2024-10-25 22:13 43K 
[   ]rygel-dev-0.44.1-r0.apk2024-12-01 13:58 43K 
[   ]wine-staging-doc-9.22-r0.apk2024-11-23 20:05 43K 
[   ]py3-isbnlib-3.10.14-r0.apk2025-01-19 12:55 43K 
[   ]py3-sphinx-theme-cloud-pyc-1.10.0-r2.apk2024-10-25 22:12 43K 
[   ]fast_float-5.2.0-r1.apk2024-10-25 22:11 43K 
[   ]py3-dunamai-pyc-1.23.0-r0.apk2024-12-10 08:52 43K 
[   ]php81-pecl-memcache-8.2-r1.apk2024-10-25 22:12 43K 
[   ]sentinel-minipot-2.3.0-r1.apk2024-10-25 22:12 43K 
[   ]py3-bookkeeper-4.17.1-r0.apk2024-10-25 22:12 43K 
[   ]peervpn-0.044-r5.apk2024-10-25 22:12 43K 
[   ]perl-extutils-xsbuilder-0.28-r5.apk2024-10-25 22:12 43K 
[   ]psftools-dev-1.1.2-r0.apk2024-10-25 22:12 43K 
[   ]ocaml-mirage-kv-dev-4.0.1-r3.apk2024-10-25 22:12 43K 
[   ]py3-cdio-pyc-2.1.1-r6.apk2025-01-20 21:43 43K 
[   ]py3-ovos-config-1.0.0-r0.apk2024-11-25 13:41 43K 
[   ]py3-coreapi-pyc-2.3.3-r9.apk2024-10-25 22:12 43K 
[   ]sigrok-cli-0.7.2-r0.apk2024-10-25 22:12 43K 
[   ]apulse-0.1.13-r2.apk2024-10-25 22:10 43K 
[   ]kismet-nxp-kw41z-0.202307.1-r4.apk2024-11-11 19:20 43K 
[   ]libtommath-1.2.1-r0.apk2024-10-25 22:11 43K 
[   ]emacs-avy-0.5.0_git20230420-r0.apk2024-10-25 22:11 43K 
[   ]xone-src-0.3_git20230517-r0.apk2024-10-25 22:13 43K 
[   ]elementary-feedback-lang-8.0.0-r0.apk2024-10-27 15:17 43K 
[   ]py3-spake2-pyc-0.9-r0.apk2024-10-25 22:12 44K 
[   ]rhasspy-nlu-0.4.0-r3.apk2024-10-25 22:12 44K 
[   ]perl-net-xmpp-doc-1.05-r0.apk2024-10-25 22:12 44K 
[   ]imediff-pyc-2.6-r1.apk2024-10-25 22:11 44K 
[   ]py3-pysimplesoap-1.16.2-r7.apk2024-10-25 22:12 44K 
[   ]granite7-dev-7.5.0-r0.apk2024-10-25 22:11 44K 
[   ]php81-pdo-8.1.31-r0.apk2024-11-20 02:22 44K 
[   ]py3-mistletoe-1.2.1-r2.apk2024-10-25 22:12 44K 
[   ]tcc-dev-0.9.27_git20241020-r2.apk2025-01-19 12:55 44K 
[   ]py3-sphinxcontrib-hydomain-pyc-0.1.0_git20230930-r1.apk2024-10-25 22:12 44K 
[   ]goawk-doc-1.29.1-r1.apk2025-01-19 12:55 44K 
[   ]sentinel-proxy-2.1.0-r0.apk2024-10-25 22:12 44K 
[   ]bakelite-0.4.2-r0.apk2024-10-25 22:10 44K 
[   ]nlopt-guile-2.9.1-r0.apk2024-12-28 06:46 44K 
[   ]commoncpp-tools-7.0.1-r1.apk2024-10-25 22:11 44K 
[   ]imapfilter-2.8.2-r0.apk2024-10-25 22:11 44K 
[   ]ocaml-duration-dev-0.2.0-r2.apk2024-10-25 22:12 44K 
[   ]perl-xml-stream-1.24-r0.apk2024-10-25 22:12 44K 
[   ]extundelete-0.2.4-r1.apk2024-10-25 22:11 44K 
[   ]py3-shodan-1.31.0-r1.apk2024-10-25 22:12 44K 
[   ]waycheck-1.5.0-r0.apk2024-12-21 23:51 44K 
[   ]xfce4-panel-profiles-lang-1.0.14-r1.apk2024-10-25 22:13 44K 
[   ]elementary-feedback-8.0.0-r0.apk2024-10-27 15:17 44K 
[   ]py3-rtree-pyc-1.3.0-r0.apk2025-01-04 06:20 44K 
[   ]ocaml-uuidm-0.9.8-r2.apk2024-10-25 22:12 44K 
[   ]dooit-3.1.0-r0.apk2024-12-07 21:23 45K 
[   ]volumeicon-0.5.1-r1.apk2024-10-25 22:13 45K 
[   ]py3-gtkspellcheck-5.0.3-r0.apk2024-12-07 21:32 45K 
[   ]pantalaimon-0.10.5-r4.apk2024-10-25 22:12 45K 
[   ]py3-litex-hub-pythondata-cpu-minerva-2024.04-r0.apk2024-10-25 22:12 45K 
[   ]ocfs2-tools-dev-1.8.7-r4.apk2024-10-25 22:12 45K 
[   ]wput-0.6.2-r4.apk2024-10-25 22:13 45K 
[   ]ettercap-doc-0.8.3.1-r3.apk2024-10-25 22:11 45K 
[   ]py3-zipfile2-0.0.12-r0.apk2024-10-25 22:12 45K 
[   ]py3-zope-schema-7.0.1-r3.apk2024-10-25 22:12 45K 
[   ]py3-pyautogui-pyc-0.9.53-r5.apk2024-10-25 22:12 45K 
[   ]libreoffice-voikko-5.0_git20200127-r0.apk2024-10-25 22:11 45K 
[   ]kismet-linux-bluetooth-0.202307.1-r4.apk2024-11-11 19:20 45K 
[   ]py3-ovos-bus-client-1.0.4-r0.apk2024-11-21 14:32 45K 
[   ]py3-aiowinreg-pyc-0.0.12-r0.apk2024-10-25 22:12 45K 
[   ]py3-pycosat-0.6.6-r2.apk2024-10-25 22:12 46K 
[   ]endeavour-dev-43.0-r2.apk2024-12-08 22:39 46K 
[   ]plfit-static-1.0.1-r0.apk2025-01-04 04:47 46K 
[   ]nvim-packer-0.0.0_git20220910-r1.apk2024-10-25 22:11 46K 
[   ]glfw-wayland-dev-3.3.8-r3.apk2024-10-25 22:11 46K 
[   ]ovos-skill-hello-world-0.0.4_alpha3-r1.apk2024-10-25 22:12 46K 
[   ]aravis-0.8.31-r0.apk2024-10-25 22:10 46K 
[   ]goomwwm-1.0.0-r5.apk2024-10-25 22:11 46K 
[   ]bananui-daemons-0.1.0-r0.apk2024-10-25 22:10 46K 
[   ]normaliz-3.10.4-r0.apk2024-10-30 13:50 46K 
[   ]py3-ovos-backend-client-1.0.0-r0.apk2024-10-25 22:12 46K 
[   ]emacs-hydra-0.15.0_git20220910-r0.apk2024-10-25 22:11 46K 
[   ]spread-sheet-widget-0.10-r0.apk2024-10-25 22:12 46K 
[   ]py3-bandwidth-sdk-3.1.0-r8.apk2024-10-25 22:12 46K 
[   ]py3-mopidy-mpd-3.3.0-r4.apk2024-10-25 22:12 46K 
[   ]fungw-perl-1.2.1-r0.apk2024-12-30 10:48 46K 
[   ]pasystray-0.8.2-r0.apk2024-10-25 22:12 46K 
[   ]fusesoc-2.3-r0.apk2024-10-25 22:11 46K 
[   ]ubase-20200605-r3.apk2024-10-25 22:13 46K 
[   ]py3-marshmallow-3.25.1-r0.apk2025-01-12 19:53 46K 
[   ]dmarc-metrics-exporter-pyc-1.2.0-r0.apk2024-11-29 23:02 46K 
[   ]clinfo-3.0.23.01.25-r0.apk2024-10-25 22:11 46K 
[   ]waynergy-0.0.17-r0.apk2024-10-25 22:13 46K 
[   ]acmetool-doc-0.2.2-r9.apk2025-01-19 12:55 47K 
[   ]gtkhash-lang-1.5-r0.apk2024-10-25 22:11 47K 
[   ]cvs-fast-export-1.65-r0.apk2024-10-25 22:11 47K 
[   ]py3-gls-1.3.1-r1.apk2024-10-25 22:12 47K 
[   ]ocaml-lwt_ssl-dev-1.2.0-r0.apk2024-10-25 22:12 47K 
[   ]ocaml-tsdl-image-0.6-r0.apk2024-10-25 22:12 47K 
[   ]py3-ovos-ocp-files-plugin-0.13.1-r0.apk2024-10-25 22:12 47K 
[   ]py3-flask-limiter-pyc-3.10.1-r0.apk2025-01-19 18:13 47K 
[   ]sblg-0.5.11-r0.apk2024-10-25 22:12 47K 
[   ]ocaml-bigstringaf-0.9.0-r2.apk2024-10-25 22:11 47K 
[   ]py3-rosdistro-0.9.0-r3.apk2024-10-25 22:12 47K 
[   ]gssdp-1.6.3-r0.apk2024-12-01 13:58 47K 
[   ]py3-yapsy-pyc-1.12.2-r7.apk2024-10-25 22:12 47K 
[   ]py3-markdown2-2.5.0-r0.apk2024-10-25 22:12 47K 
[   ]py3-soappy-0.52.30-r0.apk2024-12-02 23:53 47K 
[   ]py3-pyqrcode-pyc-1.2.1-r0.apk2024-10-25 22:12 47K 
[   ]py3-distorm3-3.5.2-r6.apk2024-10-25 22:12 47K 
[   ]meli-doc-0.8.10-r0.apk2024-12-16 11:10 47K 
[   ]perl-time-moment-0.44-r0.apk2024-10-25 22:12 47K 
[   ]i2util-dev-4.2.1-r1.apk2024-10-25 22:11 47K 
[   ]lomiri-libusermetrics-lang-1.3.3-r0.apk2024-10-25 22:11 47K 
[   ]postgresql-pg_partman-doc-5.0.0-r0.apk2024-10-25 22:12 47K 
[   ]ocaml-mtime-dev-1.4.0-r2.apk2024-10-25 22:12 47K 
[   ]dislocker-libs-0.7.3-r5.apk2024-10-25 22:11 47K 
[   ]elementary-music-lang-8.0.0-r0.apk2024-10-28 23:06 47K 
[   ]py3-cookiecutter-pyc-2.6.0-r1.apk2024-10-25 22:12 47K 
[   ]startup-doc-2.0.3-r5.apk2024-10-25 22:12 48K 
[   ]polyglot-doc-2.0.4-r1.apk2024-10-25 22:12 48K 
[   ]otf-atkinson-hyperlegible-doc-2020.0514-r1.apk2024-10-25 22:12 48K 
[   ]perl-dbix-class-helpers-2.037000-r0.apk2024-11-18 19:00 48K 
[   ]gsettings-qt-0.2_git20220807-r1.apk2024-10-25 22:11 48K 
[   ]perl-regexp-grammars-doc-1.058-r0.apk2024-10-25 22:12 48K 
[   ]libbsoncxx-3.8.0-r0.apk2024-10-25 22:11 48K 
[   ]php81-pgsql-8.1.31-r0.apk2024-11-20 02:22 48K 
[   ]ocaml-uuseg-dev-14.0.0-r2.apk2024-10-25 22:12 48K 
[   ]tremc-0.9.3-r0.apk2024-10-25 22:12 48K 
[   ]sopwith-2.5.0-r0.apk2024-10-25 22:12 48K 
[   ]levmar-dev-2.6-r0.apk2024-10-25 22:11 48K 
[   ]perl-test-unit-doc-0.27-r0.apk2024-10-25 22:12 48K 
[   ]perl-net-jabber-doc-2.0-r0.apk2024-10-25 22:12 48K 
[   ]ruby-yard-doc-0.9.37-r0.apk2024-10-25 22:12 48K 
[   ]py3-modbus-tk-pyc-1.1.1-r4.apk2024-10-25 22:12 48K 
[   ]py3-zope-configuration-pyc-5.0.1-r2.apk2024-10-25 22:12 49K 
[   ]coxeter-3.0-r1.apk2024-10-25 22:11 49K 
[   ]ocaml-merlin-extend-0.6.1-r2.apk2024-10-25 22:12 49K 
[   ]ace-of-penguins-doc-1.4-r3.apk2024-10-25 22:10 49K 
[   ]py3-distorm3-pyc-3.5.2-r6.apk2024-10-25 22:12 49K 
[   ]urlwatch-2.28-r2.apk2024-10-25 22:13 49K 
[   ]parcellite-lang-1.2.5-r0.apk2024-10-25 22:12 49K 
[   ]py3-bibtexparser-pyc-1.4.3-r0.apk2025-01-19 12:55 49K 
[   ]perl-minion-doc-10.31-r0.apk2024-10-25 22:12 49K 
[   ]ocaml-mtime-1.4.0-r2.apk2024-10-25 22:12 49K 
[   ]lynis-doc-3.1.1-r0.apk2024-10-25 22:11 49K 
[   ]spiritvnc-0.6.5-r0.apk2024-11-03 06:09 49K 
[   ]php84-pecl-uv-0.3.0-r0.apk2024-10-28 13:47 49K 
[   ]php83-pecl-uv-0.3.0-r0.apk2024-10-25 22:12 49K 
[   ]libantic-0.2.5-r0.apk2024-10-25 22:11 49K 
[   ]openwsman-2.7.2-r5.apk2024-10-25 22:12 50K 
[   ]py3-radon-pyc-6.0.1-r2.apk2024-10-25 22:12 50K 
[   ]py3-liblarch-pyc-3.2.0-r6.apk2024-12-08 22:39 50K 
[   ]somebar-1.0.3-r0.apk2024-10-25 22:12 50K 
[   ]wiimms-szs-tools-doc-2.26a-r0.apk2024-10-25 22:13 50K 
[   ]py3-spotipy-pyc-2.24.0-r1.apk2024-10-25 22:12 50K 
[   ]swaks-doc-20240103.0-r0.apk2024-10-25 22:12 50K 
[   ]createrepo_c-1.1.4-r0.apk2024-10-25 22:11 50K 
[   ]perl-statistics-basic-doc-1.6611-r0.apk2024-10-25 22:12 50K 
[   ]tcc-doc-0.9.27_git20241020-r2.apk2025-01-19 12:55 50K 
[   ]ocamlnet-tcl-4.1.9-r2.apk2024-10-25 22:12 50K 
[   ]py3-requests-cache-1.2.1-r1.apk2024-11-18 19:00 50K 
[   ]xwaylandvideobridge-0.4.0-r1.apk2024-10-25 22:13 50K 
[   ]ytmdl-2024.08.15.1-r0.apk2024-10-25 22:13 50K 
[   ]py3-dt-schema-pyc-2024.11-r0.apk2024-11-11 20:23 50K 
[   ]dublin-traceroute-0.4.2-r4.apk2024-10-25 22:11 50K 
[   ]s-postgray-0.8.3-r0.apk2024-10-25 22:12 50K 
[   ]gupnp-dev-1.6.8-r0.apk2025-01-20 15:48 50K 
[   ]apk-tools3-3.0.0_pre3_git20241029-r0.apk2024-10-30 06:03 50K 
[   ]gpg-remailer-3.04.07-r1.apk2024-10-25 22:11 50K 
[   ]milkytracker-doc-1.04.00-r2.apk2024-10-25 22:11 50K 
[   ]py3-pbs-installer-2024.12.19-r0.apk2024-12-31 02:10 51K 
[   ]py3-mss-10.0.0-r0.apk2024-11-14 14:12 51K 
[   ]py3-lunr-pyc-0.6.2-r4.apk2024-10-25 22:12 51K 
[   ]perl-net-jabber-2.0-r0.apk2024-10-25 22:12 51K 
[   ]systemd-boot-257-r0.apk2025-01-15 22:38 51K 
[   ]gpscorrelate-2.2-r0.apk2024-11-12 12:29 51K 
[   ]ccze-0.2.1-r1.apk2024-10-25 22:11 51K 
[   ]php81-pecl-amqp-2.1.2-r0.apk2024-10-25 22:12 51K 
[   ]php81-pecl-event-3.1.4-r0.apk2024-10-25 22:12 51K 
[   ]libzn_poly-0.9.2-r2.apk2024-10-25 22:11 51K 
[   ]primesieve-12.6-r0.apk2024-12-14 19:14 51K 
[   ]soapy-bladerf-0.4.2-r0.apk2024-12-31 02:11 51K 
[   ]py3-aiohttp-debugtoolbar-pyc-0.6.1-r2.apk2024-10-25 22:12 51K 
[   ]py3-sphobjinv-pyc-2.3.1.2-r0.apk2024-12-28 19:13 51K 
[   ]opentelemetry-cpp-exporter-zipkin-1.11.0-r5.apk2024-11-11 19:20 51K 
[   ]py3-tasklib-pyc-2.5.1-r2.apk2024-10-25 22:12 52K 
[   ]gkrellm-server-2.3.11-r0.apk2025-01-08 23:36 52K 
[   ]py3-zimscraperlib-3.4.0-r0.apk2024-11-06 10:41 52K 
[   ]py3-pillow_heif-0.18.0-r0.apk2024-10-25 22:12 52K 
[   ]persistent-cache-cpp-1.0.7-r2.apk2024-10-25 22:12 52K 
[   ]py3-dnslib-0.9.25-r0.apk2024-10-25 22:12 52K 
[   ]megatools-doc-1.11.1.20241028-r0.apk2024-10-29 20:34 52K 
[   ]castero-0.9.5-r3.apk2024-10-25 22:11 52K 
[   ]ocaml-ezxmlm-dev-1.1.0-r0.apk2024-10-25 22:12 52K 
[   ]plzip-1.12-r0.apk2025-01-15 09:35 52K 
[   ]py3-pymaging-png-pyc-0.0.20130727-r10.apk2024-10-25 22:12 52K 
[   ]ocaml-iso8601-0.2.6-r0.apk2024-10-25 22:12 52K 
[   ]granite7-lang-7.5.0-r0.apk2024-10-25 22:11 52K 
[   ]jalv-1.6.8-r1.apk2024-10-25 22:11 52K 
[   ]xa-2.3.14-r0.apk2024-10-25 22:13 52K 
[   ]bitlbee-mastodon-1.4.5-r0.apk2024-10-25 22:11 52K 
[   ]postgresql-pg_variables-bitcode-1.2.5_git20230922-r0.apk2024-10-25 22:12 52K 
[   ]py3-pypubsub-4.0.3-r0.apk2024-10-25 22:12 52K 
[   ]66-tools-0.1.1.0-r0.apk2025-01-12 14:12 52K 
[   ]py3-pyatem-0.5.0-r4.apk2024-10-25 22:12 53K 
[   ]ccrtp-dev-2.1.2-r0.apk2024-10-25 22:11 53K 
[   ]py3-dogpile.cache-1.3.3-r0.apk2024-10-25 22:12 53K 
[   ]gingerbase-lang-2.3.0-r7.apk2024-10-25 22:11 53K 
[   ]fplll-5.5.0-r0.apk2024-11-18 19:00 53K 
[   ]recoll-dev-1.37.5-r1.apk2024-10-25 22:12 53K 
[   ]timew-doc-1.4.3-r1.apk2024-10-25 22:12 53K 
[   ]py3-dep-logic-pyc-0.4.10-r0.apk2024-12-15 23:01 53K 
[   ]py3-wstools-0.4.10-r7.apk2024-10-25 22:12 53K 
[   ]policycoreutils-3.6-r1.apk2024-10-25 22:12 53K 
[   ]libiio-0.25-r2.apk2024-10-25 22:11 53K 
[   ]ocaml-hex-dev-1.5.0-r2.apk2024-10-25 22:12 53K 
[   ]ocaml-ptmap-2.0.5-r3.apk2024-10-25 22:12 53K 
[   ]libzn_poly-static-0.9.2-r2.apk2024-10-25 22:11 53K 
[   ]clevis-21-r0.apk2025-01-20 05:17 54K 
[   ]libstirshaken-0_git20240208-r2.apk2024-10-25 22:11 54K 
[   ]py3-redmine-pyc-2.5.0-r0.apk2024-10-25 22:12 54K 
[   ]fiery-lang-2.0.0-r0.apk2024-10-25 22:11 54K 
[   ]py3-pyzor-pyc-1.0.0-r11.apk2024-10-25 22:12 54K 
[   ]py3-c3d-pyc-0.5.2-r1.apk2024-10-25 22:12 54K 
[   ]mcjoin-doc-2.11-r0.apk2024-10-25 22:11 54K 
[   ]freediameter-dev-1.5.0-r1.apk2024-10-25 22:11 54K 
[   ]autoremove-torrents-pyc-1.5.5-r0.apk2024-10-25 22:10 54K 
[   ]mat2-pyc-0.13.4-r3.apk2024-10-25 22:11 54K 
[   ]libarb-dev-2.23.0-r2.apk2024-10-25 22:11 54K 
[   ]ustr-1.0.4-r1.apk2024-10-25 22:13 54K 
[   ]libupstart-2.0.3-r5.apk2024-10-25 22:11 54K 
[   ]fox-pathfinder-1.6.57-r0.apk2024-10-25 22:11 54K 
[   ]py3-rospkg-pyc-1.2.9-r5.apk2024-10-25 22:12 54K 
[   ]epoch-1.3.0-r2.apk2024-10-25 22:11 54K 
[   ]metalang99-1.13.3-r0.apk2024-10-25 22:11 54K 
[   ]ocaml-uutf-dev-1.0.3-r2.apk2024-10-25 22:12 54K 
[   ]libantlr3c-3.4-r3.apk2024-10-25 22:11 55K 
[   ]pulsar-client-cpp-dev-3.1.2-r4.apk2024-10-25 22:12 55K 
[   ]fabric-3.2.2-r1.apk2024-10-25 22:11 55K 
[   ]sblim-sfcc-2.2.8-r3.apk2024-10-25 22:12 55K 
[   ]nvim-cmp-0.0.0_git20221011-r1.apk2024-10-25 22:11 55K 
[   ]py3-sh-pyc-2.1.0-r0.apk2024-11-01 16:14 55K 
[   ]kodaskanna-0.2.2-r0.apk2025-01-20 09:16 55K 
[   ]mptcpd-0.13-r1.apk2025-01-10 18:18 55K 
[   ]py3-trivup-pyc-0.12.2-r2.apk2024-10-25 22:12 55K 
[   ]elfio-dev-3.12-r0.apk2024-10-25 22:11 55K 
[   ]emacs-centaur-tabs-3.2_git20230601-r0.apk2024-10-25 22:11 55K 
[   ]belle-sip-dev-5.3.83-r0.apk2024-10-25 22:10 55K 
[   ]libnfc-1.8.0-r1.apk2024-10-25 22:11 55K 
[   ]lomiri-ui-extras-lang-0.6.3-r1.apk2024-10-25 22:11 55K 
[   ]backup-manager-0.7.15-r1.apk2024-10-25 22:10 55K 
[   ]bananui-2.0.0-r0.apk2024-10-25 22:10 55K 
[   ]git-extras-7.3.0-r0.apk2024-11-18 19:00 55K 
[   ]gdcm-doc-3.0.24-r0.apk2024-10-25 22:11 55K 
[   ]libmpfi-static-1.5.4-r2.apk2024-10-25 22:11 55K 
[   ]oblibs-dev-0.3.1.1-r0.apk2025-01-11 11:19 56K 
[   ]khronos-4.0.1-r0.apk2024-10-25 22:11 56K 
[   ]shine-3.1.1-r0.apk2024-10-25 22:12 56K 
[   ]libucl-0.9.0-r0.apk2024-10-25 22:11 56K 
[   ]py3-pyspinel-1.0.3-r1.apk2024-10-25 22:12 56K 
[   ]py3-qpageview-doc-0.6.2-r1.apk2024-10-25 22:12 56K 
[   ]pympress-lang-1.8.5-r1.apk2024-10-25 22:12 56K 
[   ]py3-scour-0.38.2-r1.apk2024-10-25 22:12 56K 
[   ]php81-pecl-apcu-5.1.24-r0.apk2024-10-25 22:12 56K 
[   ]perl-libapreq2-dev-2.17-r2.apk2024-10-25 22:12 56K 
[   ]xfce4-panel-profiles-1.0.14-r1.apk2024-10-25 22:13 57K 
[   ]php81-pecl-zephir_parser-1.7.0-r0.apk2024-11-24 18:25 57K 
[   ]py3-qbittorrent-api-2024.12.71-r0.apk2024-12-23 22:32 57K 
[   ]coxeter-dev-3.0-r1.apk2024-10-25 22:11 57K 
[   ]php82-pecl-zephir_parser-1.7.0-r0.apk2024-11-24 18:25 57K 
[   ]pimd-dense-2.1.0-r0.apk2024-10-25 22:12 57K 
[   ]py3-unicorn-pyc-2.0.1-r4.apk2024-10-25 22:12 57K 
[   ]lua5.2-lanes-3.16.0-r1.apk2024-10-25 22:11 57K 
[   ]liquibase-doc-4.9.1-r0.apk2024-10-25 22:11 57K 
[   ]py3-pbs-installer-pyc-2024.12.19-r0.apk2024-12-31 02:10 57K 
[   ]lua5.1-lanes-3.16.0-r1.apk2024-10-25 22:11 57K 
[   ]libfishsound-dev-1.0.0-r1.apk2024-10-25 22:11 57K 
[   ]py3-catkin-pkg-0.5.2-r4.apk2024-10-25 22:12 57K 
[   ]tinygltf-dev-2.9.4-r0.apk2025-01-19 12:55 57K 
[   ]clevis-dbg-21-r0.apk2025-01-20 05:17 57K 
[   ]elementary-calculator-lang-8.0.0-r0.apk2024-10-28 23:05 57K 
[   ]lua5.4-lanes-3.16.0-r1.apk2024-10-25 22:11 57K 
[   ]drupal7-doc-7.103-r0.apk2024-12-04 18:27 57K 
[   ]kfc-0.1.4-r0.apk2024-10-25 22:11 58K 
[   ]apt-dater-1.0.4-r4.apk2024-10-25 22:10 58K 
[   ]lua5.3-lanes-3.16.0-r1.apk2024-10-25 22:11 58K 
[   ]openwsman-dev-2.7.2-r5.apk2024-10-25 22:12 58K 
[   ]perl-net-xmpp-1.05-r0.apk2024-10-25 22:12 58K 
[   ]vcstool-pyc-0.3.0-r5.apk2024-10-25 22:13 58K 
[   ]mint-y-theme-metacity-2.1.1-r0.apk2024-10-25 22:11 58K 
[   ]libqd-dev-2.3.24-r0.apk2024-10-25 22:11 58K 
[   ]nvtop-3.1.0-r0.apk2024-10-25 22:11 58K 
[   ]py3-litex-hub-pythondata-misc-tapcfg-2024.04-r0.apk2024-10-25 22:12 58K 
[   ]rkdeveloptool-1.1.0-r1.apk2024-10-25 22:12 58K 
[   ]apache-mod-auth-gssapi-1.6.5-r1.apk2024-10-25 22:10 58K 
[   ]libnfc-tools-1.8.0-r1.apk2024-10-25 22:11 58K 
[   ]font-tiresias-doc-0_git20200704-r0.apk2024-10-25 22:11 58K 
[   ]sbase-doc-0_git20210730-r3.apk2024-10-25 22:12 58K 
[   ]libantlr3c-dev-3.4-r3.apk2024-10-25 22:11 58K 
[   ]perl-net-async-redis-6.006-r0.apk2024-12-11 17:22 58K 
[   ]py3-empy-pyc-3.3.4-r7.apk2024-10-25 22:12 58K 
[   ]mpdcron-dev-0.3-r1.apk2024-10-25 22:11 59K 
[   ]anari-sdk-dev-0.7.2-r0.apk2024-10-25 22:10 59K 
[   ]pipeline-lang-2.1.0-r0.apk2024-12-07 04:50 59K 
[   ]ocaml-happy-eyeballs-lwt-dev-0.3.0-r3.apk2024-10-25 22:12 59K 
[   ]xfce4-mixer-lang-4.18.1-r2.apk2024-10-25 22:13 59K 
[   ]py3-astral-pyc-3.2-r3.apk2024-10-25 22:12 59K 
[   ]plplot-dev-5.15.0-r2.apk2024-10-25 22:12 59K 
[   ]yodl-doc-4.02.00-r1.apk2024-10-25 22:13 59K 
[   ]py3-flask-restless-pyc-0.17.0-r9.apk2024-10-25 22:12 59K 
[   ]perl-json-validator-5.14-r0.apk2024-10-25 22:12 59K 
[   ]decoder-lang-0.6.1-r0.apk2024-10-25 22:11 59K 
[   ]sloccount-doc-2.26-r3.apk2024-10-25 22:12 59K 
[   ]sloccount-2.26-r3.apk2024-10-25 22:12 59K 
[   ]nvim-lualine-0.0.0_git20241101-r0.apk2024-11-14 00:09 60K 
[   ]psftools-doc-1.1.2-r0.apk2024-10-25 22:12 60K 
[   ]fdm-materials-5.2.2-r1.apk2024-10-25 22:11 60K 
[   ]ocaml-easy-format-1.3.4-r1.apk2024-10-25 22:12 60K 
[   ]libiscsi-1.19.0-r2.apk2024-10-25 22:11 60K 
[   ]fabric-pyc-3.2.2-r1.apk2024-10-25 22:11 60K 
[   ]openscap-daemon-0.1.10-r9.apk2024-10-25 22:12 60K 
[   ]py3-aiodocker-pyc-0.21.0-r1.apk2024-10-25 22:12 60K 
[   ]mnamer-pyc-2.5.5-r1.apk2024-10-25 22:11 60K 
[   ]gmcapsule-pyc-0.9.7-r0.apk2025-01-08 20:12 61K 
[   ]wlroots0.12-dev-0.12.0-r1.apk2024-10-25 22:13 61K 
[   ]zydis-dev-4.1.0-r0.apk2024-10-25 22:13 61K 
[   ]ttfautohint-gui-1.8.4-r0.apk2024-10-25 22:13 61K 
[   ]s-dkim-sign-0.6.2-r0.apk2024-10-25 22:12 61K 
[   ]py3-unicrypto-0.0.10-r2.apk2024-10-25 22:12 61K 
[   ]py3-zope-schema-pyc-7.0.1-r3.apk2024-10-25 22:12 61K 
[   ]hexer-1.4.0-r16.apk2024-11-08 01:09 61K 
[   ]libabigail-doc-2.3-r0.apk2024-10-25 22:11 61K 
[   ]guish-doc-2.6.11-r0.apk2024-12-24 11:42 61K 
[   ]kabmat-2.7.0-r0.apk2024-10-25 22:11 61K 
[   ]gingerbase-pyc-2.3.0-r7.apk2024-10-25 22:11 61K 
[   ]dcnnt-pyc-0.10.0-r1.apk2024-10-25 22:11 62K 
[   ]py3-feedgen-pyc-1.0.0-r1.apk2024-10-25 22:12 62K 
[   ]php81-pecl-ds-1.5.0-r0.apk2024-10-25 22:12 62K 
[   ]perl-net-curl-0.56-r1.apk2024-10-25 22:12 62K 
[   ]font-tamzen-1.11.5-r1.apk2024-10-25 22:11 62K 
[   ]lomiri-terminal-app-lang-2.0.3-r0.apk2024-11-12 18:18 62K 
[   ]rapidfuzz-3.2.0-r0.apk2024-12-18 23:45 62K 
[   ]sthttpd-2.27.1-r2.apk2024-10-25 22:12 62K 
[   ]emacs-gnosis-0.3.2-r0.apk2024-10-25 22:11 62K 
[   ]py3-tidalapi-pyc-0.7.4-r1.apk2024-10-25 22:12 62K 
[   ]sshuttle-1.1.2-r0.apk2024-10-25 22:12 62K 
[   ]notification-daemon-3.20.0-r0.apk2024-10-25 22:11 62K 
[   ]vdr-plugin-dvbapi-2.2.5-r0.apk2024-10-25 22:13 62K 
[   ]libvdpau-va-gl-0.4.2-r0.apk2024-10-25 22:11 62K 
[   ]ocaml-tsdl-ttf-0.6-r0.apk2024-10-25 22:12 62K 
[   ]py3-colander-2.0-r2.apk2024-10-25 22:12 62K 
[   ]libxo-doc-1.7.5-r0.apk2025-01-12 23:45 63K 
[   ]jbigkit-2.1-r2.apk2024-10-25 22:11 63K 
[   ]tinyscheme-1.42-r1.apk2024-10-25 22:12 63K 
[   ]shntool-3.0.10-r4.apk2024-10-25 22:12 63K 
[   ]py3-pyspinel-pyc-1.0.3-r1.apk2024-10-25 22:12 63K 
[   ]portsmf-239-r1.apk2024-10-25 22:12 63K 
[   ]py3-mapbox-earcut-1.0.1-r2.apk2024-10-25 22:12 63K 
[   ]moosefs-cgi-3.0.117-r2.apk2024-10-25 22:11 63K 
[   ]ueberzug-18.2.3-r0.apk2024-10-25 22:13 63K 
[   ]git-extras-doc-7.3.0-r0.apk2024-11-18 19:00 63K 
[   ]cvise-pyc-2.8.0-r2.apk2024-10-25 22:11 63K 
[   ]glfw-wayland-3.3.8-r3.apk2024-10-25 22:11 63K 
[   ]perl-glib-object-introspection-0.051-r1.apk2024-10-25 22:12 64K 
[   ]megatools-1.11.1.20241028-r0.apk2024-10-29 20:34 64K 
[   ]9base-doc-6-r2.apk2024-10-25 22:10 64K 
[   ]moosefs-doc-3.0.117-r2.apk2024-10-25 22:11 64K 
[   ]gf2x-dev-1.3.0-r1.apk2024-10-25 22:11 64K 
[   ]rtl-power-fftw-20200601-r4.apk2024-10-25 22:12 64K 
[   ]masky-pyc-0.2.0-r1.apk2024-10-25 22:11 64K 
[   ]ueberzug-pyc-18.2.3-r0.apk2024-10-25 22:13 64K 
[   ]kismet-linux-wifi-0.202307.1-r4.apk2024-11-11 19:20 64K 
[   ]onioncat-4.11.0-r1.apk2024-10-25 22:12 64K 
[   ]materia-compact-gtk3-20210322-r1.apk2024-10-25 22:11 65K 
[   ]gufw-pyc-24.04-r3.apk2024-11-19 22:42 65K 
[   ]materia-gtk3-20210322-r1.apk2024-10-25 22:11 65K 
[   ]lomiri-terminal-app-2.0.3-r0.apk2024-11-12 18:18 65K 
[   ]py3-sphinx-theme-bw-0.1.8-r7.apk2024-10-25 22:12 65K 
[   ]serialdv-libs-1.1.4-r0.apk2024-10-25 22:12 65K 
[   ]avarice-2.14-r4.apk2024-10-25 22:10 65K 
[   ]ansible-bender-pyc-0.10.1-r2.apk2024-10-25 22:10 65K 
[   ]xsecurelock-1.9.0-r1.apk2024-10-25 22:13 65K 
[   ]wiimms-iso-tools-doc-3.04a-r1.apk2024-10-25 22:13 65K 
[   ]mimalloc1-insecure-1.8.6-r0.apk2024-10-25 22:11 65K 
[   ]ocaml-jsonm-dev-1.0.2-r0.apk2024-10-25 22:12 65K 
[   ]py3-minidump-0.0.24-r0.apk2024-10-25 22:12 65K 
[   ]php81-dom-8.1.31-r0.apk2024-11-20 02:22 65K 
[   ]daemontools-0.76-r3.apk2024-10-25 22:11 66K 
[   ]polyglot-2.0.4-r1.apk2024-10-25 22:12 66K 
[   ]perl-regexp-grammars-1.058-r0.apk2024-10-25 22:12 66K 
[   ]htmlcxx-0.87-r1.apk2024-10-25 22:11 66K 
[   ]perl-gtk2-ex-widgetbits-48-r3.apk2024-10-25 22:12 66K 
[   ]libxml++-5.0.3-r1.apk2024-10-25 22:11 66K 
[   ]curtail-lang-1.11.1-r0.apk2024-10-25 22:11 66K 
[   ]perl-net-async-redis-doc-6.006-r0.apk2024-12-11 17:22 66K 
[   ]pebble-le-0.3.0-r2.apk2024-12-14 20:46 66K 
[   ]swaks-20240103.0-r0.apk2024-10-25 22:12 66K 
[   ]rosdep-0.19.0-r6.apk2024-10-25 22:12 66K 
[   ]fildesh-0.2.0-r0.apk2024-10-25 22:11 66K 
[   ]apache2-mod-perl-dbg-2.0.13-r1.apk2024-10-25 22:10 66K 
[   ]gnome-user-share-lang-47.0-r0.apk2024-10-25 22:11 66K 
[   ]heisenbridge-1.14.6-r0.apk2024-10-25 22:11 67K 
[   ]libnbcompat-dev-1.0.2-r0.apk2024-10-25 22:11 67K 
[   ]py3-bookkeeper-pyc-4.17.1-r0.apk2024-10-25 22:12 67K 
[   ]py3-isbnlib-pyc-3.10.14-r0.apk2025-01-19 12:55 67K 
[   ]clipit-1.4.5-r3.apk2024-10-25 22:11 67K 
[   ]charls-2.4.2-r0.apk2024-10-25 22:11 67K 
[   ]xsoldier-1.8-r2.apk2024-10-25 22:13 67K 
[   ]bitlbee-facebook-1.2.2-r0.apk2024-10-25 22:11 67K 
[   ]php81-doc-8.1.31-r0.apk2024-11-20 02:22 68K 
[   ]py3-caldav-1.4.0-r0.apk2024-11-09 21:46 68K 
[   ]py3-pysubs2-pyc-1.8.0-r0.apk2024-12-25 23:09 68K 
[   ]mrsh-libs-0_git20210518-r1.apk2024-10-25 22:11 68K 
[   ]py3-python-iptables-pyc-1.0.1-r1.apk2024-10-25 22:12 68K 
[   ]perl-io-lambda-doc-1.34-r0.apk2024-10-25 22:12 68K 
[   ]ocaml-eqaf-0.8-r2.apk2024-10-25 22:12 68K 
[   ]ding-libs-dev-0.6.2-r4.apk2024-10-25 22:11 68K 
[   ]py3-blockdiag-3.0.0-r6.apk2025-01-10 13:38 68K 
[   ]arc-cinnamon-20221218-r0.apk2024-10-25 22:10 68K 
[   ]endeavour-doc-43.0-r2.apk2024-12-08 22:39 68K 
[   ]py3-pystache-0.6.5-r1.apk2024-10-25 22:12 68K 
[   ]py3-ncclient-0.6.13-r5.apk2024-10-25 22:12 68K 
[   ]subliminal-2.2.1-r0.apk2024-10-25 22:12 68K 
[   ]py3-zimscraperlib-pyc-3.4.0-r0.apk2024-11-06 10:41 68K 
[   ]libofx-0.10.9-r1.apk2024-10-25 22:11 68K 
[   ]arc-dark-cinnamon-20221218-r0.apk2024-10-25 22:10 68K 
[   ]gamemode-0_git20240327-r0.apk2024-10-25 22:11 68K 
[   ]ocaml-mew-0.1.0-r3.apk2024-10-25 22:12 69K 
[   ]php81-ffi-8.1.31-r0.apk2024-11-20 02:22 69K 
[   ]atlantik-lang-3.5.10_git20240323-r0.apk2024-10-25 22:10 69K 
[   ]gupnp-dlna-0.12.0-r0.apk2024-12-01 13:58 69K 
[   ]py3-ovos-utils-0.5.4-r0.apk2024-11-25 13:41 69K 
[   ]tpm2-pkcs11-pyc-1.9.1-r0.apk2024-10-25 22:12 69K 
[   ]py3-bandwidth-sdk-pyc-3.1.0-r8.apk2024-10-25 22:12 69K 
[   ]libtommath-dev-1.2.1-r0.apk2024-10-25 22:11 69K 
[   ]ckb-next-daemon-0.6.0-r1.apk2024-10-25 22:11 69K 
[   ]ocfs2-tools-doc-1.8.7-r4.apk2024-10-25 22:12 69K 
[   ]debconf-1.5.82-r0.apk2024-10-25 22:11 69K 
[   ]howard-bc-7.0.3-r0.apk2024-10-25 22:11 69K 
[   ]py3-lsprotocol-2023.0.1-r1.apk2024-10-25 22:12 69K 
[   ]aravis-viewer-0.8.31-r0.apk2024-10-25 22:10 70K 
[   ]ginac-dev-1.8.7-r1.apk2024-10-25 22:11 70K 
[   ]py3-hg-git-1.1.1-r1.apk2024-10-25 22:12 70K 
[   ]mpop-1.4.21-r0.apk2025-01-14 06:15 70K 
[   ]smassh-pyc-3.1.6-r0.apk2024-11-21 03:56 70K 
[   ]tree-sitter-caddy-0_git20230322-r0.apk2024-10-25 22:12 70K 
[   ]libsirocco-2.1.0-r2.apk2024-10-25 22:11 70K 
[   ]wlroots0.15-dev-0.15.1-r6.apk2024-10-25 22:13 70K 
[   ]xdg-ninja-0.2.0.2-r0.apk2024-10-25 22:13 70K 
[   ]opendht-dev-3.1.7-r5.apk2024-12-14 20:46 71K 
[   ]wlroots0.16-dev-0.16.2-r0.apk2024-10-28 14:47 71K 
[   ]twemproxy-0.5.0-r0.apk2024-10-25 22:13 71K 
[   ]iotas-lang-0.9.5-r0.apk2024-12-07 21:32 71K 
[   ]getmail6-6.19.06-r0.apk2025-01-19 16:15 71K 
[   ]py3-irc-pyc-20.4.1-r0.apk2024-10-25 22:12 71K 
[   ]libiscsi-static-1.19.0-r2.apk2024-10-25 22:11 71K 
[   ]hfst-doc-3.16.0-r2.apk2024-10-25 22:11 71K 
[   ]libnest2d-dev-0.4-r6.apk2024-10-25 22:11 71K 
[   ]elementary-calculator-8.0.0-r0.apk2024-10-28 23:05 71K 
[   ]py3-limits-pyc-3.14.1-r0.apk2024-12-25 17:22 71K 
[   ]tmate-doc-2.4.0-r4.apk2024-10-25 22:12 72K 
[   ]pqiv-2.12-r1.apk2024-10-25 22:12 72K 
[   ]postgresql16-wal2json-2.6-r0.apk2024-10-25 22:12 72K 
[   ]b2-tools-4.2.0-r0.apk2025-01-12 14:27 72K 
[   ]py3-latex2mathml-3.77.0-r1.apk2024-10-25 22:12 72K 
[   ]py3-apio-0.9.5-r0.apk2024-10-25 22:12 72K 
[   ]smassh-3.1.6-r0.apk2024-11-21 03:56 72K 
[   ]hypnotix-lang-3.5-r0.apk2024-10-25 22:11 72K 
[   ]normaliz-dev-3.10.4-r0.apk2024-10-30 13:50 73K 
[   ]libwbxml-0.11.8-r0.apk2024-10-25 22:11 73K 
[   ]mimalloc1-1.8.6-r0.apk2024-10-25 22:11 73K 
[   ]rhasspy-nlu-pyc-0.4.0-r3.apk2024-10-25 22:12 73K 
[   ]py3-hishel-pyc-0.0.33-r0.apk2024-10-25 22:12 73K 
[   ]horizon-image-0.9.6-r9.apk2024-10-25 22:11 73K 
[   ]yaru-theme-hdpi-23.10.0-r1.apk2024-12-01 03:29 73K 
[   ]py3-jsonschema417-4.17.3-r1.apk2024-10-25 22:12 73K 
[   ]screenkey-pyc-1.5-r6.apk2024-10-25 22:12 73K 
[   ]py3-tg-0.19.0-r5.apk2024-10-25 22:12 73K 
[   ]topgit-doc-0.19.13-r1.apk2024-10-25 22:12 73K 
[   ]muon-doc-0.3.0-r0.apk2024-10-25 22:11 73K 
[   ]libiio-tools-0.25-r2.apk2024-10-25 22:11 74K 
[   ]hidrd-0.2.0_git20190603-r1.apk2024-10-25 22:11 74K 
[   ]nmon-16q-r0.apk2024-10-25 22:11 74K 
[   ]py3-scour-pyc-0.38.2-r1.apk2024-10-25 22:12 74K 
[   ]py3-mopidy-mpd-pyc-3.3.0-r4.apk2024-10-25 22:12 74K 
[   ]vcdimager-doc-2.0.1-r4.apk2025-01-16 14:34 74K 
[   ]libqtdbusmock-0.9.1-r1.apk2024-10-25 22:11 74K 
[   ]ocaml-gmap-dev-0.3.0-r2.apk2024-10-25 22:12 74K 
[   ]perl-snmp-5.0404-r13.apk2024-10-25 22:12 74K 
[   ]ding-libs-0.6.2-r4.apk2024-10-25 22:11 74K 
[   ]ocaml-domain-name-0.4.0-r2.apk2024-10-25 22:12 75K 
[   ]bliss-0.77-r1.apk2024-10-25 22:11 75K 
[   ]ocaml-lru-0.3.0-r2.apk2024-10-25 22:12 75K 
[   ]soundconverter-pyc-4.0.6-r0.apk2024-11-12 16:33 75K 
[   ]ocaml-ptime-dev-1.0.0-r2.apk2024-10-25 22:12 75K 
[   ]viewnior-1.8-r1.apk2024-10-25 22:13 75K 
[   ]libfishsound-doc-1.0.0-r1.apk2024-10-25 22:11 75K 
[   ]p0f-3.09b-r3.apk2024-10-25 22:12 75K 
[   ]opentelemetry-cpp-exporter-otlp-http-1.11.0-r5.apk2024-11-11 19:20 75K 
[   ]fastd-22-r3.apk2024-10-25 22:11 75K 
[   ]elementary-music-8.0.0-r0.apk2024-10-28 23:06 75K 
[   ]perl-io-lambda-1.34-r0.apk2024-10-25 22:12 75K 
[   ]highfive-2.10.1-r0.apk2025-01-15 03:52 75K 
[   ]py3-markdown2-pyc-2.5.0-r0.apk2024-10-25 22:12 75K 
[   ]log4cpp-1.1.4-r1.apk2024-10-25 22:11 76K 
[   ]py3-aesedb-pyc-0.1.6-r2.apk2024-10-25 22:12 76K 
[   ]libxo-dev-1.7.5-r0.apk2025-01-12 23:45 76K 
[   ]wlvncc-0.0.0_git20241130-r0.apk2024-12-17 21:03 76K 
[   ]nb-doc-7.15.0-r0.apk2024-12-15 22:49 76K 
[   ]ocaml-sha-1.15.4-r0.apk2024-10-25 22:12 76K 
[   ]py3-minio-7.2.13-r0.apk2024-12-25 18:48 76K 
[   ]ocaml-tsdl-image-dev-0.6-r0.apk2024-10-25 22:12 76K 
[   ]py3-doit-0.36.0-r5.apk2024-10-25 22:12 77K 
[   ]ustr-debug-1.0.4-r1.apk2024-10-25 22:13 77K 
[   ]barman-doc-3.12.1-r0.apk2024-12-31 17:20 77K 
[   ]dnsperf-2.14.0-r0.apk2024-10-25 22:11 77K 
[   ]cpplint-2.0.0-r0.apk2024-11-21 20:52 77K 
[   ]screenkey-1.5-r6.apk2024-10-25 22:12 77K 
[   ]aide-0.18.8-r0.apk2024-10-25 22:10 77K 
[   ]monetdb-dev-11.33.11-r4.apk2024-10-25 22:11 77K 
[   ]ocaml-stringext-dev-1.6.0-r2.apk2024-10-25 22:12 77K 
[   ]csfml-dev-2.5.2-r0.apk2024-10-25 22:11 77K 
[   ]py3-apio-pyc-0.9.5-r0.apk2024-10-25 22:12 77K 
[   ]py3-pyparted-3.13.0-r1.apk2024-10-25 22:12 77K 
[   ]idesk-1-r1.apk2024-10-25 22:11 77K 
[   ]ocaml-compiler-libs-repackaged-0.12.4-r3.apk2024-10-25 22:12 77K 
[   ]wlroots0.17-dev-0.17.4-r1.apk2024-11-23 10:00 77K 
[   ]gammastep-lang-2.0.9-r3.apk2024-10-25 22:11 78K 
[   ]planarity-libs-3.0.2.0-r2.apk2024-10-25 22:12 78K 
[   ]cln-doc-1.3.7-r0.apk2024-10-25 22:11 78K 
[   ]py3-dt-schema-2024.11-r0.apk2024-11-11 20:23 78K 
[   ]py3-nwdiag-pyc-3.0.0-r3.apk2024-10-25 22:12 78K 
[   ]fplll-dev-5.5.0-r0.apk2024-11-18 19:00 78K 
[   ]ytmdl-pyc-2024.08.15.1-r0.apk2024-10-25 22:13 78K 
[   ]libstirshaken-dev-0_git20240208-r2.apk2024-10-25 22:11 78K 
[   ]pypy-dev-7.3.12-r0.apk2024-10-25 22:12 78K 
[   ]py3-pysimplesoap-pyc-1.16.2-r7.apk2024-10-25 22:12 78K 
[   ]flowd-0.9.1-r10.apk2024-10-25 22:11 79K 
[   ]py3-solidpython-1.1.2-r2.apk2024-10-25 22:12 79K 
[   ]surfraw-2.3.0-r0.apk2024-10-25 22:12 79K 
[   ]perl-algorithm-evolutionary-0.82.1-r0.apk2024-10-25 22:12 79K 
[   ]ffms2-5.0-r0.apk2024-10-25 22:11 79K 
[   ]py3-youtube-search-1.6.6-r4.apk2024-10-25 22:12 79K 
[   ]py3-shodan-pyc-1.31.0-r1.apk2024-10-25 22:12 79K 
[   ]atlantik-doc-3.5.10_git20240323-r0.apk2024-10-25 22:10 79K 
[   ]py3-asyauth-0.0.21-r0.apk2024-10-25 22:12 79K 
[   ]proot-5.4.0-r1.apk2024-10-25 22:12 79K 
[   ]py3-ward-pyc-0.67.0_beta0-r2.apk2024-10-25 22:12 80K 
[   ]spiped-1.6.2-r1.apk2024-10-25 22:12 80K 
[   ]mailutils-servers-3.18-r0.apk2025-01-09 06:56 80K 
[   ]gupnp-av-0.14.3-r0.apk2025-01-16 00:26 80K 
[   ]reprotest-0.7.29-r0.apk2024-12-23 05:07 80K 
[   ]php81-openssl-8.1.31-r0.apk2024-11-20 02:22 80K 
[   ]irccd-doc-4.0.3-r0.apk2024-10-25 22:11 80K 
[   ]opkg-libs-0.7.0-r0.apk2024-10-25 22:12 80K 
[   ]vit-2.3.2-r1.apk2024-10-25 22:13 80K 
[   ]lxappearance-lang-0.6.3-r3.apk2024-10-25 22:11 81K 
[   ]py3-tg-pyc-0.19.0-r5.apk2024-10-25 22:12 81K 
[   ]mxclient-0_git20211002-r1.apk2024-10-25 22:11 81K 
[   ]mimedefang-doc-3.5-r0.apk2024-10-25 22:11 81K 
[   ]perl-pango-doc-1.227-r11.apk2024-10-25 22:12 81K 
[   ]libiml-1.0.5-r3.apk2024-10-25 22:11 81K 
[   ]perl-gtk2-ex-widgetbits-doc-48-r3.apk2024-10-25 22:12 81K 
[   ]ledmon-1.0.0-r0.apk2024-10-25 22:11 81K 
[   ]tk9-dev-9.0.1-r0.apk2024-12-22 07:22 81K 
[   ]py3-sphinx-theme-cloud-1.10.0-r2.apk2024-10-25 22:12 81K 
[   ]tree-sitter-pascal-0.9.1-r0.apk2024-10-25 22:12 81K 
[   ]py3-ovos-bus-client-pyc-1.0.4-r0.apk2024-11-21 14:32 81K 
[   ]hping3-20051105-r4.apk2024-10-25 22:11 82K 
[   ]dbus-broker-36-r0.apk2024-10-25 22:11 82K 
[   ]tree-sitter-ssh-client-config-2025.1.16-r0.apk2025-01-19 12:55 82K 
[   ]libucl-dev-0.9.0-r0.apk2024-10-25 22:11 82K 
[   ]way-displays-1.8.1-r2.apk2024-10-25 22:13 82K 
[   ]py3-unearth-pyc-0.17.2-r0.apk2024-10-25 22:12 82K 
[   ]perl-cairo-1.109-r4.apk2024-10-25 22:12 82K 
[   ]boxes-2.3.1-r0.apk2024-10-25 22:11 82K 
[   ]getssl-2.48-r0.apk2024-10-25 22:11 82K 
[   ]libiml-static-1.0.5-r3.apk2024-10-25 22:11 82K 
[   ]linuxptp-ptp4l-4.4-r0.apk2024-11-18 19:00 83K 
[   ]ocaml-happy-eyeballs-0.3.0-r2.apk2024-10-25 22:12 83K 
[   ]libgivaro-4.2.0-r2.apk2024-10-25 22:11 83K 
[   ]silc-client-doc-1.1.11-r17.apk2024-10-25 22:12 83K 
[   ]pantalaimon-pyc-0.10.5-r4.apk2024-10-25 22:12 83K 
[   ]ocaml-arp-3.0.0-r3.apk2024-10-25 22:11 83K 
[   ]elementary-sound-theme-1.1.0-r0.apk2024-11-10 23:08 83K 
[   ]ocaml-ethernet-dev-3.0.0-r3.apk2024-10-25 22:12 83K 
[   ]thefuck-3.32-r5.apk2024-10-25 22:12 83K 
[   ]youtube-viewer-3.11.1-r0.apk2024-10-25 22:13 83K 
[   ]py3-marshmallow-pyc-3.25.1-r0.apk2025-01-12 19:53 84K 
[   ]py3-winacl-0.1.9-r0.apk2024-10-25 22:12 84K 
[   ]idevicerestore-1.0.0-r4.apk2024-10-30 23:44 84K 
[   ]razercfg-0.42-r7.apk2024-10-25 22:12 84K 
[   ]perl-net-idn-encode-2.500-r1.apk2024-10-25 22:12 84K 
[   ]soqt-dev-1.6.3-r0.apk2024-12-13 21:44 84K 
[   ]sydbox-doc-3.21.3-r0.apk2024-10-25 22:12 84K 
[   ]py3-plexapi-doc-4.16.1-r0.apk2025-01-12 18:48 84K 
[   ]py3-gls-pyc-1.3.1-r1.apk2024-10-25 22:12 84K 
[   ]php81-mysqlnd-8.1.31-r0.apk2024-11-20 02:22 84K 
[   ]bananui-dev-2.0.0-r0.apk2024-10-25 22:10 84K 
[   ]perl-net-amqp-rabbitmq-2.40012-r0.apk2024-10-25 22:12 84K 
[   ]libeantic-2.0.2-r1.apk2024-10-25 22:11 85K 
[   ]firehol-3.1.7-r2.apk2024-10-25 22:11 85K 
[   ]lomiri-action-api-1.1.3-r1.apk2024-10-25 22:11 85K 
[   ]viewnior-lang-1.8-r1.apk2024-10-25 22:13 85K 
[   ]hy-1.0.0-r0.apk2024-10-25 22:11 85K 
[   ]elementary-videos-lang-8.0.1-r0.apk2024-11-10 23:07 85K 
[   ]dodo-pyc-0_git20241007-r0.apk2024-10-25 22:11 86K 
[   ]ocaml-logs-dev-0.7.0-r3.apk2024-10-25 22:12 86K 
[   ]neofetch-7.1.0-r2.apk2024-11-06 22:46 86K 
[   ]py3-flask-dbconfig-0.3.12-r8.apk2024-10-25 22:12 86K 
[   ]py3-arcus-5.3.0-r1.apk2024-10-25 22:12 86K 
[   ]lua5.1-luastatic-0.0.12-r1.apk2024-10-25 22:11 86K 
[   ]py3-ovos-ocp-audio-plugin-pyc-0.0.6_alpha2-r3.apk2024-10-25 22:12 86K 
[   ]arc-dark-gtk4-20221218-r0.apk2024-10-25 22:10 86K 
[   ]vim-airline-0.11-r0.apk2024-10-25 22:13 86K 
[   ]horizon-tools-0.9.6-r9.apk2024-10-25 22:11 86K 
[   ]elementary-camera-8.0.0-r0.apk2024-10-27 15:19 86K 
[   ]ocaml-iso8601-dev-0.2.6-r0.apk2024-10-25 22:12 87K 
[   ]perl-pango-1.227-r11.apk2024-10-25 22:12 87K 
[   ]py3-asysocks-0.2.13-r0.apk2024-10-25 22:12 87K 
[   ]gearman-libs-1.1.21-r1.apk2024-10-25 22:11 87K 
[   ]freediameter-libfdproto-1.5.0-r1.apk2024-10-25 22:11 87K 
[   ]py3-ovos-workshop-3.1.1-r0.apk2024-11-25 13:41 87K 
[   ]php84-pecl-solr-2.8.0-r0.apk2025-01-03 15:34 87K 
[   ]systemd-efistub-257-r0.apk2025-01-15 22:38 87K 
[   ]aptdec-1.8.0-r0.apk2024-10-25 22:10 87K 
[   ]duc-1.4.5-r0.apk2024-10-25 22:11 87K 
[   ]musikcube-plugin-httpdatastream-3.0.4-r0.apk2024-10-25 22:11 88K 
[   ]libiscsi-utils-1.19.0-r2.apk2024-10-25 22:11 88K 
[   ]purple-facebook-0.9.6-r0.apk2024-10-25 22:12 88K 
[   ]sqliteodbc-0.99991-r0.apk2024-10-25 22:12 88K 
[   ]lfm-3.1-r4.apk2024-10-25 22:11 88K 
[   ]ocaml-base64-3.5.0-r2.apk2024-10-25 22:11 88K 
[   ]ruby-build-20241225.2-r0.apk2025-01-09 07:30 88K 
[   ]lua-lut-1.2.1-r0.apk2024-10-25 22:11 89K 
[   ]libretro-pocketcdg-0_git20220327-r0.apk2024-10-25 22:11 89K 
[   ]libmustache-0.5.0-r1.apk2024-10-25 22:11 89K 
[   ]mongo-cxx-driver-dev-3.8.0-r0.apk2024-10-25 22:11 89K 
[   ]py3-fpdf-pyc-1.7.2-r5.apk2024-10-25 22:12 89K 
[   ]fusesoc-pyc-2.3-r0.apk2024-10-25 22:11 89K 
[   ]createrepo_c-libs-1.1.4-r0.apk2024-10-25 22:11 89K 
[   ]openslide-3.4.1-r3.apk2024-10-25 22:12 89K 
[   ]tree-sitter-dart-0_git20230123-r1.apk2024-10-25 22:12 90K 
[   ]xfce4-mixer-4.18.1-r2.apk2024-10-25 22:13 90K 
[   ]py3-pypubsub-pyc-4.0.3-r0.apk2024-10-25 22:12 90K 
[   ]zapret-0.0.0_git20220125-r1.apk2024-10-25 22:13 90K 
[   ]gammastep-2.0.9-r3.apk2024-10-25 22:11 90K 
[   ]gtkhash-1.5-r0.apk2024-10-25 22:11 90K 
[   ]lua5.1-libguestfs-1.52.0-r1.apk2024-10-25 22:11 90K 
[   ]gupnp-1.6.8-r0.apk2025-01-20 15:48 90K 
[   ]py3-caldav-pyc-1.4.0-r0.apk2024-11-09 21:46 90K 
[   ]py3-dogpile.cache-pyc-1.3.3-r0.apk2024-10-25 22:12 90K 
[   ]libigraph-dev-0.10.15-r0.apk2024-11-15 17:15 90K 
[   ]perl-soap-lite-doc-1.27-r5.apk2024-10-25 22:12 90K 
[   ]btpd-0.16-r2.apk2024-10-25 22:11 91K 
[   ]pimd-3.0_git20220201-r0.apk2024-10-25 22:12 91K 
[   ]soundfont-vintage-dreams-waves-2.1-r2.apk2024-10-25 22:12 91K 
[   ]ocaml-bigstringaf-dev-0.9.0-r2.apk2024-10-25 22:11 91K 
[   ]video-trimmer-lang-0.9.0-r0.apk2024-10-25 22:13 91K 
[   ]py3-ovos-backend-client-pyc-1.0.0-r0.apk2024-10-25 22:12 91K 
[   ]xandikos-0.2.12-r1.apk2024-11-25 04:43 91K 
[   ]py3-rosdistro-pyc-0.9.0-r3.apk2024-10-25 22:12 91K 
[   ]emacs-elfeed-3.4.1_git20240326-r0.apk2024-10-25 22:11 91K 
[   ]ocaml-merlin-extend-dev-0.6.1-r2.apk2024-10-25 22:12 91K 
[   ]ustr-dev-1.0.4-r1.apk2024-10-25 22:13 91K 
[   ]py3-mistletoe-pyc-1.2.1-r2.apk2024-10-25 22:12 92K 
[   ]py3-pyatem-pyc-0.5.0-r4.apk2024-10-25 22:12 92K 
[   ]resources-lang-1.6.0-r1.apk2024-10-25 22:12 92K 
[   ]ocaml-uuseg-14.0.0-r2.apk2024-10-25 22:12 92K 
[   ]py3-ovos-plugin-manager-0.6.0-r0.apk2024-11-21 14:32 92K 
[   ]ocaml-num-dev-1.4-r3.apk2024-10-25 22:12 92K 
[   ]armagetronad-doc-0.2.9.1.1-r0.apk2024-10-25 22:10 92K 
[   ]libmygpo-qt-1.1.0-r2.apk2024-10-25 22:11 92K 
[   ]ocaml-libvirt-dev-0.6.1.7-r0.apk2024-10-25 22:12 92K 
[   ]libmdbx-dev-0.11.8-r0.apk2024-10-25 22:11 93K 
[   ]arc-dark-gtk3-20221218-r0.apk2024-10-25 22:10 93K 
[   ]libctl-4.5.1-r1.apk2024-10-25 22:11 93K 
[   ]gst-rtsp-server-dev-1.24.10-r0.apk2024-12-05 13:45 93K 
[   ]ocaml-ptmap-dev-2.0.5-r3.apk2024-10-25 22:12 94K 
[   ]py3-unicrypto-pyc-0.0.10-r2.apk2024-10-25 22:12 94K 
[   ]py3-requests-cache-pyc-1.2.1-r1.apk2024-11-18 19:00 94K 
[   ]py3-qbittorrent-api-pyc-2024.12.71-r0.apk2024-12-23 22:32 94K 
[   ]py3-moviepy-1.0.3-r6.apk2024-10-25 22:12 94K 
[   ]py3-xlwt-1.3.0-r9.apk2024-10-25 22:12 95K 
[   ]ocaml-psq-0.2.0-r2.apk2024-10-25 22:12 95K 
[   ]castero-pyc-0.9.5-r3.apk2024-10-25 22:11 95K 
[   ]py3-mnemonic-0.21-r0.apk2024-10-25 22:12 95K 
[   ]py3-ovos-phal-plugin-network-manager-1.3.2-r0.apk2024-11-21 14:32 95K 
[   ]py3-soappy-pyc-0.52.30-r0.apk2024-12-02 23:53 95K 
[   ]py3-flask-peewee-pyc-3.0.6-r0.apk2024-10-25 22:12 95K 
[   ]ocaml-xmlm-dev-1.4.0-r2.apk2024-10-25 22:12 96K 
[   ]ocaml-ssl-0.7.0-r0.apk2024-10-25 22:12 96K 
[   ]ocaml-mew-dev-0.1.0-r3.apk2024-10-25 22:12 96K 
[   ]rmlint-shredder-2.10.2-r2.apk2024-10-25 22:12 96K 
[   ]lomiri-telephony-service-lang-0.5.3-r5.apk2024-12-07 13:41 96K 
[   ]cpplint-pyc-2.0.0-r0.apk2024-11-21 20:52 96K 
[   ]py3-youtube-search-pyc-1.6.6-r4.apk2024-10-25 22:12 96K 
[   ]katarakt-0.2-r0.apk2024-10-25 22:11 96K 
[   ]lomiri-ui-toolkit-lang-1.3.5110-r0.apk2025-01-10 11:15 96K 
[   ]libsemanage-3.6-r1.apk2024-10-25 22:11 96K 
[   ]ustr-doc-1.0.4-r1.apk2024-10-25 22:13 97K 
[   ]libgivaro-static-4.2.0-r2.apk2024-10-25 22:11 97K 
[   ]py3-pystache-pyc-0.6.5-r1.apk2024-10-25 22:12 97K 
[   ]anarch-1.0-r1.apk2024-10-25 22:10 97K 
[   ]znc-push-0_git20220823-r8.apk2024-10-25 22:13 97K 
[   ]ssdfs-tools-4.09-r0.apk2024-10-25 22:12 97K 
[   ]py3-drf-yasg-pyc-1.21.7-r2.apk2024-10-25 22:12 97K 
[   ]py3-chameleon-4.5.4-r0.apk2024-10-25 22:12 97K 
[   ]py3-avro-1.11.3-r1.apk2024-10-25 22:12 98K 
[   ]py3-transitions-0.9.2-r0.apk2024-10-25 22:12 98K 
[   ]lomiri-settings-components-lang-1.1.2-r0.apk2025-01-10 11:15 98K 
[   ]libmhash-0.9.9.9-r3.apk2024-10-25 22:11 98K 
[   ]ginac-doc-1.8.7-r1.apk2024-10-25 22:11 98K 
[   ]azote-pyc-1.14.0-r0.apk2024-12-14 21:38 98K 
[   ]htmldoc-doc-1.9.20-r0.apk2024-12-10 17:43 98K 
[   ]py3-qpageview-0.6.2-r1.apk2024-10-25 22:12 98K 
[   ]hpnssh-doc-18.4.1-r0.apk2024-10-25 22:11 98K 
[   ]k2-0_git20220807-r1.apk2024-10-25 22:11 98K 
[   ]dsp-1.9-r2.apk2024-10-25 22:11 98K 
[   ]py3-pyinstrument-pyc-5.0.0-r0.apk2024-12-21 06:54 98K 
[   ]zapret-doc-0.0.0_git20220125-r1.apk2024-10-25 22:13 98K 
[   ]ctorrent-dnh-3.3.2-r2.apk2024-10-25 22:11 99K 
[   ]perl-sys-virt-doc-10.6.0-r0.apk2024-10-25 22:12 99K 
[   ]burp-doc-3.1.4-r0.apk2024-10-25 22:11 99K 
[   ]ocaml-fpath-dev-0.7.3-r2.apk2024-10-25 22:12 100K 
[   ]dooit-pyc-3.1.0-r0.apk2024-12-07 21:23 100K 
[   ]monopd-0.10.4-r0.apk2025-01-11 12:11 100K 
[   ]caffeine-ng-4.2.0-r1.apk2024-10-25 22:11 100K 
[   ]sshuttle-pyc-1.1.2-r0.apk2024-10-25 22:12 101K 
[   ]hdf4-dev-4.2.15-r2.apk2024-10-25 22:11 101K 
[   ]singular-emacs-4.4.0-r0.apk2024-10-25 22:12 101K 
[   ]catfish-pyc-4.20.0-r0.apk2024-12-27 11:36 101K 
[   ]liboggz-1.1.1-r2.apk2024-10-25 22:11 101K 
[   ]urlwatch-pyc-2.28-r2.apk2024-10-25 22:13 101K 
[   ]ocaml-charinfo_width-1.1.0-r3.apk2024-10-25 22:12 102K 
[   ]otf-atkinson-hyperlegible-2020.0514-r1.apk2024-10-25 22:12 102K 
[   ]py3-cdio-2.1.1-r6.apk2025-01-20 21:43 102K 
[   ]openscap-daemon-pyc-0.1.10-r9.apk2024-10-25 22:12 102K 
[   ]lomiri-notifications-1.3.1-r0.apk2025-01-10 11:15 102K 
[   ]nvim-plenary-0.1.4_git20240917-r0.apk2024-11-11 05:07 102K 
[   ]care-2.3.0-r1.apk2024-10-25 22:11 102K 
[   ]py3-catkin-pkg-pyc-0.5.2-r4.apk2024-10-25 22:12 103K 
[   ]mptcpd-dbg-0.13-r1.apk2025-01-10 18:18 103K 
[   ]reprotest-pyc-0.7.29-r0.apk2024-12-23 05:07 103K 
[   ]py3-ovos-classifiers-0.0.0_alpha53-r0.apk2024-10-25 22:12 103K 
[   ]ttfautohint-libs-1.8.4-r0.apk2024-10-25 22:13 103K 
[   ]guish-2.6.11-r0.apk2024-12-24 11:42 103K 
[   ]bananui-shell-0.2.0-r0.apk2024-10-25 22:10 103K 
[   ]h4h5tools-static-2.2.5-r4.apk2024-10-25 22:11 103K 
[   ]prosody-mod-register_json-0.11_hg20201208-r0.apk2024-10-25 22:12 104K 
[   ]py3-ovos-ocp-files-plugin-pyc-0.13.1-r0.apk2024-10-25 22:12 104K 
[   ]mpdcron-0.3-r1.apk2024-10-25 22:11 104K 
[   ]jdebp-redo-1.4-r1.apk2024-10-25 22:11 104K 
[   ]ocaml-compiler-libs-repackaged-dev-0.12.4-r3.apk2024-10-25 22:12 104K 
[   ]csfml-2.5.2-r0.apk2024-10-25 22:11 104K 
[   ]pithos-1.6.1-r0.apk2024-10-25 22:12 104K 
[   ]nbsdgames-5-r0.apk2024-10-25 22:11 105K 
[   ]u1db-qt-0.1.8-r0.apk2024-10-25 22:13 105K 
[   ]efibootguard-0.16-r0.apk2024-10-25 22:11 105K 
[   ]lkrg-0.9.6-r1.apk2025-01-09 12:44 105K 
[   ]openmg-0.0.9-r0.apk2024-10-25 22:12 105K 
[   ]getmail6-pyc-6.19.06-r0.apk2025-01-19 16:15 105K 
[   ]gnome-latex-doc-3.47.0-r2.apk2024-12-12 20:30 105K 
[   ]libfoma-0.10.0_git20240712-r0.apk2024-10-25 22:11 105K 
[   ]ruby-libguestfs-1.52.0-r1.apk2024-10-25 22:12 105K 
[   ]policycoreutils-lang-3.6-r1.apk2024-10-25 22:12 105K 
[   ]libsbsms-2.3.0-r0.apk2024-10-25 22:11 106K 
[   ]libofx-tools-0.10.9-r1.apk2024-10-25 22:11 106K 
[   ]fceux-doc-2.6.6-r2.apk2024-10-25 22:11 106K 
[   ]transmission-remote-gtk-lang-1.6.0-r0.apk2024-10-25 22:12 106K 
[   ]pari-dev-2.17.1-r0.apk2024-12-24 18:12 106K 
[   ]wayqt-0.2.0-r0.apk2024-10-25 22:13 106K 
[   ]opkg-dev-0.7.0-r0.apk2024-10-25 22:12 106K 
[   ]nm-tray-0.5.0-r0.apk2024-10-25 22:11 106K 
[   ]py3-ncclient-pyc-0.6.13-r5.apk2024-10-25 22:12 107K 
[   ]handlebars-1.0.0-r1.apk2024-10-25 22:11 107K 
[   ]perl-libapreq2-2.17-r2.apk2024-10-25 22:12 107K 
[   ]py3-hg-git-pyc-1.1.1-r1.apk2024-10-25 22:12 107K 
[   ]py3-pyinstrument-5.0.0-r0.apk2024-12-21 06:54 107K 
[   ]crispy-doom-doc-7.0-r0.apk2024-10-25 22:11 107K 
[   ]soundconverter-lang-4.0.6-r0.apk2024-11-12 16:33 107K 
[   ]ocaml-tsdl-ttf-dev-0.6-r0.apk2024-10-25 22:12 107K 
[   ]py3-lsprotocol-pyc-2023.0.1-r1.apk2024-10-25 22:12 107K 
[   ]otrs-setup-6.0.48-r2.apk2024-10-25 22:12 107K 
[   ]lumina-desktop-sudo-1.6.2-r0.apk2024-10-25 22:11 107K 
[   ]predict-2.3.1-r0.apk2024-11-23 20:05 108K 
[   ]libnih-1.0.3-r7.apk2024-10-25 22:11 108K 
[   ]rizin-cutter-dev-2.3.2-r2.apk2024-10-25 22:12 108K 
[   ]elf_diff-pyc-0.7.1-r3.apk2024-10-25 22:11 108K 
[   ]elf_diff-0.7.1-r3.apk2024-10-25 22:11 108K 
[   ]detox-2.0.0-r0.apk2024-10-25 22:11 108K 
[   ]ocaml-mirage-flow-3.0.0-r3.apk2024-10-25 22:12 108K 
[   ]libbraiding-1.3.1-r0.apk2024-11-18 19:00 109K 
[   ]lomiri-gallery-app-lang-3.0.2-r0.apk2024-10-25 22:11 109K 
[   ]h4h5tools-2.2.5-r4.apk2024-10-25 22:11 109K 
[   ]py3-dnslib-pyc-0.9.25-r0.apk2024-10-25 22:12 109K 
[   ]roswell-24.10.115-r0.apk2024-10-25 22:12 109K 
[   ]mediastreamer2-doc-5.3.41-r0.apk2024-10-25 22:11 109K 
[   ]moe-1.14-r0.apk2024-10-25 22:11 109K 
[   ]libmhash-dev-0.9.9.9-r3.apk2024-10-25 22:11 110K 
[   ]emacs-embark-1.0_git20240327-r0.apk2024-10-25 22:11 110K 
[   ]py3-langcodes-pyc-3.3.0-r2.apk2024-10-25 22:12 110K 
[   ]font-cousine-0_git20210228-r0.apk2024-10-25 22:11 110K 
[   ]hypnotix-3.5-r0.apk2024-10-25 22:11 110K 
[   ]arc-darker-gtk4-20221218-r0.apk2024-10-25 22:10 110K 
[   ]perl-soap-lite-1.27-r5.apk2024-10-25 22:12 110K 
[   ]libnih-dev-1.0.3-r7.apk2024-10-25 22:11 110K 
[   ]xtl-0.7.7-r0.apk2024-10-25 22:13 111K 
[   ]sciteco-doc-2.3.0-r0.apk2024-12-29 09:42 111K 
[   ]py3-wstools-pyc-0.4.10-r7.apk2024-10-25 22:12 111K 
[   ]wf-config-0.8.0-r1.apk2024-10-25 22:13 111K 
[   ]menumaker-0.99.14-r1.apk2024-10-25 22:11 111K 
[   ]ocaml-easy-format-dev-1.3.4-r1.apk2024-10-25 22:12 111K 
[   ]maxima-emacs-5.47.0-r8.apk2024-10-25 22:11 111K 
[   ]compiz-pyc-0.9.14.2-r7.apk2024-11-22 19:16 111K 
[   ]py3-litex-hub-valentyusb-2024.04-r0.apk2024-10-25 22:12 112K 
[   ]fungw-fawk-1.2.1-r0.apk2024-12-30 10:48 112K 
[   ]ccrtp-2.1.2-r0.apk2024-10-25 22:11 112K 
[   ]cluster-glue-libs-1.0.12-r5.apk2024-10-25 22:11 112K 
[   ]py3-litex-hub-pythondata-cpu-lm32-2024.04-r0.apk2024-10-25 22:12 112K 
[   ]atomicparsley-20240608-r0.apk2024-10-25 22:10 112K 
[   ]catdoc-0.95-r1.apk2024-10-25 22:11 112K 
[   ]qdjango-0.6.2-r1.apk2024-10-25 22:12 112K 
[   ]sblim-wbemcli-1.6.3-r1.apk2024-10-25 22:12 112K 
[   ]mediastreamer2-dev-5.3.41-r0.apk2024-10-25 22:11 112K 
[   ]ocaml-ptime-1.0.0-r2.apk2024-10-25 22:12 113K 
[   ]pyradio-doc-0.9.3.11-r0.apk2024-10-25 22:12 113K 
[   ]arc-lighter-gtk4-20221218-r0.apk2024-10-25 22:10 113K 
[   ]interception-tools-0.6.8-r2.apk2024-10-25 22:11 113K 
[   ]sqawk-doc-0.24.0-r0.apk2024-10-25 22:12 113K 
[   ]libabigail-tools-2.3-r0.apk2024-10-25 22:11 113K 
[   ]x11docker-7.6.0-r1.apk2024-10-25 22:13 113K 
[   ]bliss-dev-0.77-r1.apk2024-10-25 22:11 113K 
[   ]arc-gtk4-20221218-r0.apk2024-10-25 22:10 114K 
[   ]elementary-videos-8.0.1-r0.apk2024-11-10 23:07 114K 
[   ]ocaml-camlzip-1.11-r2.apk2024-10-25 22:11 114K 
[   ]fluent-bit-dev-3.1.10-r0.apk2024-11-10 13:15 115K 
[   ]ocaml-logs-0.7.0-r3.apk2024-10-25 22:12 115K 
[   ]py3-flask-restaction-0.25.3-r8.apk2024-10-25 22:12 115K 
[   ]htslib-dev-1.19-r0.apk2024-10-25 22:11 115K 
[   ]ocaml-jsonm-1.0.2-r0.apk2024-10-25 22:12 116K 
[   ]tachyon-0.99_beta6-r1.apk2024-10-25 22:12 116K 
[   ]hamster-time-tracker-doc-3.0.3-r2.apk2024-10-25 22:11 116K 
[   ]proot-static-5.4.0-r1.apk2024-10-25 22:12 117K 
[   ]compiz-dev-0.9.14.2-r7.apk2024-11-22 19:16 117K 
[   ]perl-git-raw-doc-0.90-r2.apk2024-11-22 19:16 117K 
[   ]hw-probe-1.6.5-r2.apk2024-10-25 22:11 117K 
[   ]belr-5.3.83-r0.apk2024-10-25 22:10 117K 
[   ]py3-spnego-0.11.2-r0.apk2025-01-16 08:52 118K 
[   ]uucp-doc-1.07-r6.apk2024-10-25 22:13 118K 
[   ]font-firamath-0.3.4-r0.apk2024-10-25 22:11 118K 
[   ]shellinabox-2.21-r3.apk2024-10-25 22:12 118K 
[   ]ocaml-lwt_react-5.7.0-r0.apk2024-10-25 22:12 119K 
[   ]lomiri-docviewer-app-lang-3.0.4-r0.apk2024-10-25 22:11 119K 
[   ]wok-pyc-3.0.0-r6.apk2024-10-25 22:13 119K 
[   ]yosys-dev-0.42-r0.apk2024-10-25 22:13 119K 
[   ]sbase-0_git20210730-r3.apk2024-10-25 22:12 119K 
[   ]faust-tools-2.60.3-r2.apk2024-10-25 22:11 119K 
[   ]android-file-transfer-cli-4.3-r0.apk2024-10-25 22:10 119K 
[   ]rosdep-pyc-0.19.0-r6.apk2024-10-25 22:12 119K 
[   ]py3-poppler-qt5-21.3.0-r1.apk2024-10-25 22:12 120K 
[   ]vcdimager-dev-2.0.1-r4.apk2025-01-16 14:34 120K 
[   ]py3-solidpython-pyc-1.1.2-r2.apk2024-10-25 22:12 120K 
[   ]zile-2.6.2-r1.apk2024-10-25 22:13 120K 
[   ]ocaml-eqaf-dev-0.8-r2.apk2024-10-25 22:12 121K 
[   ]gcli-2.5.0-r1.apk2025-01-03 15:34 121K 
[   ]bake-2.5.1-r0.apk2024-10-25 22:10 121K 
[   ]perl-dbix-class-helpers-doc-2.037000-r0.apk2024-11-18 19:00 121K 
[   ]apk-tools3-libs-3.0.0_pre3_git20241029-r0.apk2024-10-30 06:03 122K 
[   ]libvisio2svg-utils-0.5.5-r3.apk2024-10-25 22:11 122K 
[   ]mir-demos-2.15.0-r4.apk2024-10-25 22:11 122K 
[   ]py3-edalize-0.5.4-r0.apk2024-10-25 22:12 123K 
[   ]py3-m2crypto-pyc-0.41.0-r2.apk2024-10-25 22:12 123K 
[   ]libsbsms-dev-2.3.0-r0.apk2024-10-25 22:11 123K 
[   ]osmctools-0.9-r0.apk2024-10-25 22:12 123K 
[   ]ocaml-fmt-dev-0.9.0-r2.apk2024-10-25 22:12 123K 
[   ]tree-sitter-markdown-0.3.2-r0.apk2024-10-25 22:12 123K 
[   ]arc-darker-gtk3-20221218-r0.apk2024-10-25 22:10 124K 
[   ]py3-ovos-utils-pyc-0.5.4-r0.apk2024-11-25 13:41 124K 
[   ]libretro-xrick-0_git20220331-r0.apk2024-10-25 22:11 124K 
[   ]py3-cchardet-2.1.7-r5.apk2024-10-25 22:12 124K 
[   ]granite7-7.5.0-r0.apk2024-10-25 22:11 124K 
[   ]rmlint-shredder-pyc-2.10.2-r2.apk2024-10-25 22:12 124K 
[   ]arc-lighter-gtk3-20221218-r0.apk2024-10-25 22:10 125K 
[   ]drogon-dev-1.9.4-r1.apk2024-10-25 22:11 125K 
[   ]aws-lc-tools-1.42.0-r1.apk2025-01-19 12:55 125K 
[   ]py3-kazoo-0_git20211202-r4.apk2024-10-25 22:12 125K 
[   ]py3-jsonschema417-pyc-4.17.3-r1.apk2024-10-25 22:12 125K 
[   ]ginger-lang-2.4.0-r7.apk2024-10-25 22:11 125K 
[   ]py3-dbus-fast-pyc-2.24.4-r0.apk2024-11-18 19:00 126K 
[   ]php82-pecl-teds-1.3.0-r0.apk2024-10-25 22:12 126K 
[   ]arc-gtk3-20221218-r0.apk2024-10-25 22:10 126K 
[   ]catfish-4.20.0-r0.apk2024-12-27 11:36 126K 
[   ]topgit-0.19.13-r1.apk2024-10-25 22:12 127K 
[   ]berry-lang-1.1.0-r0.apk2024-10-25 22:10 127K 
[   ]odyssey-1.3-r3.apk2024-10-25 22:12 127K 
[   ]icmake-9.03.01-r0.apk2024-10-25 22:11 127K 
[   ]icmake-doc-9.03.01-r0.apk2024-10-25 22:11 127K 
[   ]cdist-pyc-7.0.0-r6.apk2024-10-25 22:11 128K 
[   ]fox-adie-1.6.57-r0.apk2024-10-25 22:11 128K 
[   ]tpm2-pkcs11-1.9.1-r0.apk2024-10-25 22:12 128K 
[   ]w_scan2-1.0.15-r0.apk2024-10-25 22:13 128K 
[   ]venc-pyc-3.2.4-r0.apk2024-10-25 22:13 128K 
[   ]zfsbootmenu-2.3.0-r1.apk2024-10-25 22:13 128K 
[   ]py3-github3-4.0.1-r1.apk2024-10-25 22:12 128K 
[   ]py3-minikerberos-0.4.4-r1.apk2024-10-25 22:12 129K 
[   ]py3-transitions-pyc-0.9.2-r0.apk2024-10-25 22:12 129K 
[   ]openttd-openmsx-0.4.2-r0.apk2024-10-25 22:12 129K 
[   ]wayfire-dev-0.8.1-r1.apk2024-10-25 22:13 129K 
[   ]modem-manager-gui-lang-0.0.20-r0.apk2024-10-25 22:11 129K 
[   ]libvoikko-4.3.2-r1.apk2024-10-25 22:11 129K 
[   ]hidrd-dev-0.2.0_git20190603-r1.apk2024-10-25 22:11 129K 
[   ]ocaml-integers-0.7.0-r2.apk2024-10-25 22:12 130K 
[   ]php81-phar-8.1.31-r0.apk2024-11-20 02:22 130K 
[   ]mpop-lang-1.4.21-r0.apk2025-01-14 06:15 130K 
[   ]py3-minidump-pyc-0.0.24-r0.apk2024-10-25 22:12 130K 
[   ]bdfr-2.6.2-r1.apk2024-10-25 22:10 131K 
[   ]py3-chameleon-pyc-4.5.4-r0.apk2024-10-25 22:12 131K 
[   ]ocaml-lwt_log-1.1.1-r5.apk2024-10-25 22:12 131K 
[   ]py3-winacl-pyc-0.1.9-r0.apk2024-10-25 22:12 132K 
[   ]debconf-lang-1.5.82-r0.apk2024-10-25 22:11 132K 
[   ]cgiirc-0.5.12-r1.apk2024-10-25 22:11 133K 
[   ]py3-doit-pyc-0.36.0-r5.apk2024-10-25 22:12 133K 
[   ]lfm-pyc-3.1-r4.apk2024-10-25 22:11 134K 
[   ]tcc-libs-0.9.27_git20241020-r2.apk2025-01-19 12:55 134K 
[   ]neard-0.19-r0.apk2024-10-25 22:11 134K 
[   ]liboggz-doc-1.1.1-r2.apk2024-10-25 22:11 134K 
[   ]perl-mce-1.901-r0.apk2025-01-03 15:34 134K 
[   ]otpclient-4.0.2-r0.apk2024-10-25 22:12 135K 
[   ]subliminal-pyc-2.2.1-r0.apk2024-10-25 22:12 135K 
[   ]mapnik-doc-3.1.0-r29.apk2024-11-08 01:09 135K 
[   ]ecm-7.0.5-r1.apk2024-10-25 22:11 135K 
[   ]log4cxx-dev-1.1.0-r2.apk2024-12-14 20:46 135K 
[   ]php81-gd-8.1.31-r0.apk2024-11-20 02:22 135K 
[   ]b2-tools-pyc-4.2.0-r0.apk2025-01-12 14:27 135K 
[   ]ovos-audio-0.3.1-r0.apk2024-11-21 14:32 136K 
[   ]ocaml-fpath-0.7.3-r2.apk2024-10-25 22:12 137K 
[   ]bootchart2-0.14.9-r0.apk2025-01-03 15:34 137K 
[   ]android-file-transfer-libs-4.3-r0.apk2024-10-25 22:10 137K 
[   ]primesieve-libs-12.6-r0.apk2024-12-14 19:14 137K 
[   ]getmail6-doc-6.19.06-r0.apk2025-01-19 16:15 137K 
[   ]emacs-consult-1.4_git20240405-r0.apk2024-10-25 22:11 138K 
[   ]guetzli-0_git20191025-r1.apk2024-10-25 22:11 138K 
[   ]lumina-desktop-photo-1.6.2-r0.apk2024-10-25 22:11 138K 
[   ]py3-scs-3.2.3-r4.apk2024-10-25 22:12 139K 
[   ]py3-marisa-trie-1.2.1-r0.apk2024-11-11 14:13 139K 
[   ]ocaml-curses-1.0.10-r2.apk2024-10-25 22:12 139K 
[   ]py3-msldap-0.5.12-r0.apk2024-10-25 22:12 139K 
[   ]libsimplebluez-0.6.1-r2.apk2024-12-14 20:46 139K 
[   ]nullmailer-2.2-r4.apk2024-10-25 22:11 139K 
[   ]py3-cmd2-2.4.3-r2.apk2024-10-25 22:12 139K 
[   ]wolfssh-1.4.17-r0.apk2024-10-25 22:13 139K 
[   ]ocaml-calendar-dev-2.04-r4.apk2024-10-25 22:11 139K 
[   ]bochs-doc-2.8-r0.apk2024-10-25 22:11 139K 
[   ]motion-doc-4.7.0-r0.apk2024-10-25 22:11 140K 
[   ]motion-4.7.0-r0.apk2024-10-25 22:11 140K 
[   ]brltty-dev-6.7-r0.apk2024-10-25 22:11 140K 
[   ]nymphcast-mediaserver-0.1-r3.apk2024-10-25 22:11 140K 
[   ]boinc-screensaver-7.24.3-r0.apk2024-10-25 22:11 141K 
[   ]barnyard2-2.1.14_git20160413-r1.apk2024-10-25 22:10 141K 
[   ]libsemanage-dev-3.6-r1.apk2024-10-25 22:11 141K 
[   ]libtins-dev-4.5-r1.apk2024-10-25 22:11 141K 
[   ]ocaml-domain-name-dev-0.4.0-r2.apk2024-10-25 22:12 141K 
[   ]klevernotes-lang-1.1.0-r0.apk2024-10-25 22:11 142K 
[   ]pnmixer-0.7.2-r3.apk2024-10-25 22:12 142K 
[   ]py3-migen-0.9.2-r2.apk2024-10-25 22:12 143K 
[   ]php81-pecl-xdebug-3.4.1-r0.apk2025-01-07 05:43 143K 
[   ]py3-pika-1.3.2-r1.apk2024-10-25 22:12 143K 
[   ]ocaml-mikmatch-1.0.9-r2.apk2024-10-25 22:12 144K 
[   ]xosview-1.24-r0.apk2024-10-25 22:13 144K 
[   ]grip-lang-4.2.4-r0.apk2024-10-25 22:11 144K 
[   ]libretro-dinothawr-0_git20220401-r0.apk2024-10-25 22:11 145K 
[   ]php81-pecl-protobuf-4.29.3-r0.apk2025-01-09 23:23 145K 
[   ]slidge-0.1.3-r0.apk2024-10-25 22:12 145K 
[   ]font-fira-code-vf-6.2-r0.apk2024-10-25 22:11 145K 
[   ]binwalk-2.4.3-r0.apk2024-12-01 18:24 145K 
[   ]php81-soap-8.1.31-r0.apk2024-11-20 02:22 145K 
[   ]rauc-1.10.1-r0.apk2024-10-25 22:12 146K 
[   ]perl-ffi-platypus-doc-2.10-r0.apk2024-12-19 06:12 146K 
[   ]remake-1.5-r1.apk2024-10-25 22:12 147K 
[   ]qml-box2d-0_git20180406-r0.apk2024-10-25 22:12 147K 
[   ]sdparm-1.12-r1.apk2024-10-25 22:12 147K 
[   ]ustr-static-1.0.4-r1.apk2024-10-25 22:13 147K 
[   ]ocaml-happy-eyeballs-dev-0.3.0-r2.apk2024-10-25 22:12 147K 
[   ]py3-pelican-pyc-4.9.1-r2.apk2024-10-25 22:12 147K 
[   ]perl-algorithm-evolutionary-doc-0.82.1-r0.apk2024-10-25 22:12 148K 
[   ]openswitcher-0.5.0-r4.apk2024-10-25 22:12 148K 
[   ]brltty-lang-6.7-r0.apk2024-10-25 22:11 149K 
[   ]py3-blockdiag-pyc-3.0.0-r6.apk2025-01-10 13:38 149K 
[   ]lizardfs-3.13.0-r14.apk2024-12-03 15:32 149K 
[   ]sciteco-common-2.3.0-r0.apk2024-12-29 09:42 150K 
[   ]mmix-0_git20221025-r0.apk2024-10-25 22:11 150K 
[   ]php81-intl-8.1.31-r0.apk2024-11-20 02:22 150K 
[   ]coreboot-tools-configurator-24.08-r1.apk2024-11-27 21:28 151K 
[   ]nb-7.15.0-r0.apk2024-12-15 22:49 151K 
[   ]materia-gtk-theme-20210322-r1.apk2024-10-25 22:11 151K 
[   ]transmission-remote-gtk-1.6.0-r0.apk2024-10-25 22:12 151K 
[   ]libbamf-0.5.6-r1.apk2024-10-25 22:11 151K 
[   ]vit-pyc-2.3.2-r1.apk2024-10-25 22:13 151K 
[   ]ocaml-pcre-7.5.0-r4.apk2024-10-25 22:12 152K 
[   ]heisenbridge-pyc-1.14.6-r0.apk2024-10-25 22:11 152K 
[   ]yodl-4.02.00-r1.apk2024-10-25 22:13 152K 
[   ]primecount-libs-7.14-r0.apk2024-10-25 22:12 153K 
[   ]py3-plexapi-4.16.1-r0.apk2025-01-12 18:48 153K 
[   ]ttfautohint-dev-1.8.4-r0.apk2024-10-25 22:13 153K 
[   ]pithos-pyc-1.6.1-r0.apk2024-10-25 22:12 154K 
[   ]py3-opendht-3.1.7-r5.apk2024-12-14 20:46 154K 
[   ]mimedefang-3.5-r0.apk2024-10-25 22:11 154K 
[   ]ocaml-mqtt-0.2.2-r0.apk2024-10-25 22:12 155K 
[   ]cscope-15.9-r1.apk2024-10-25 22:11 155K 
[   ]py3-cssutils-2.11.1-r1.apk2024-10-25 22:12 155K 
[   ]py3-moviepy-pyc-1.0.3-r6.apk2024-10-25 22:12 156K 
[   ]thefuck-pyc-3.32-r5.apk2024-10-25 22:12 156K 
[   ]py3-ovos-workshop-pyc-3.1.1-r0.apk2024-11-25 13:41 156K 
[   ]hamster-time-tracker-3.0.3-r2.apk2024-10-25 22:11 156K 
[   ]ace-of-penguins-1.4-r3.apk2024-10-25 22:10 156K 
[   ]bananui-dbg-2.0.0-r0.apk2024-10-25 22:10 156K 
[   ]rmlint-2.10.2-r2.apk2024-10-25 22:12 156K 
[   ]smplxmpp-0.9.3-r4.apk2024-12-18 13:06 156K 
[   ]py3-simplesat-pyc-0.8.2-r0.apk2024-10-25 22:12 157K 
[   ]wok-3.0.0-r6.apk2024-10-25 22:13 157K 
[   ]moosefs-chunkserver-3.0.117-r2.apk2024-10-25 22:11 157K 
[   ]ocaml-ocplib-endian-1.2-r3.apk2024-10-25 22:12 157K 
[   ]libm4ri-20240729-r2.apk2025-01-15 19:23 157K 
[   ]gatling-0.16-r6.apk2024-10-25 22:11 158K 
[   ]ocaml-arp-dev-3.0.0-r3.apk2024-10-25 22:11 158K 
[   ]tree-sitter-vim-0.4.0-r0.apk2025-01-10 19:47 158K 
[   ]tinygltf-2.9.4-r0.apk2025-01-19 12:55 158K 
[   ]libstirshaken-tools-0_git20240208-r2.apk2024-10-25 22:11 158K 
[   ]libntl-dev-11.5.1-r4.apk2024-10-25 22:11 159K 
[   ]freediameter-libfdcore-1.5.0-r1.apk2024-10-25 22:11 159K 
[   ]mailutils-doc-3.18-r0.apk2025-01-09 06:56 160K 
[   ]py3-minio-pyc-7.2.13-r0.apk2024-12-25 18:48 160K 
[   ]ocaml-uucd-dev-14.0.0-r2.apk2024-10-25 22:12 161K 
[   ]py3-freetype-py-2.5.1-r0.apk2024-10-25 22:12 161K 
[   ]steghide-0.5.1.1-r0.apk2024-10-25 22:12 161K 
[   ]srain-1.8.0-r0.apk2024-11-18 20:18 161K 
[   ]sc-im-0.8.4-r0.apk2024-10-25 22:12 162K 
[   ]click-0.5.2-r3.apk2024-10-25 22:11 162K 
[   ]liboggz-dev-1.1.1-r2.apk2024-10-25 22:11 162K 
[   ]ocaml-lru-dev-0.3.0-r2.apk2024-10-25 22:12 162K 
[   ]catfish-lang-4.20.0-r0.apk2024-12-27 11:36 162K 
[   ]perl-dancer2-1.1.2-r0.apk2024-12-28 05:54 163K 
[   ]bankstown-lv2-1.1.0-r0.apk2024-10-25 22:10 163K 
[   ]py3-ovos-classifiers-pyc-0.0.0_alpha53-r0.apk2024-10-25 22:12 164K 
[   ]fava-pyc-1.28-r0.apk2024-10-25 22:11 164K 
[   ]soundconverter-4.0.6-r0.apk2024-11-12 16:33 165K 
[   ]pidif-0.1-r1.apk2024-10-25 22:12 165K 
[   ]ocaml-fix-20220121-r2.apk2024-10-25 22:12 165K 
[   ]olsrd-0.9.8-r3.apk2024-10-25 22:12 165K 
[   ]py3-xlwt-pyc-1.3.0-r9.apk2024-10-25 22:12 166K 
[   ]ocaml-cairo2-0.6.2-r2.apk2024-10-25 22:11 166K 
[   ]mame-plugins-0.251-r0.apk2024-10-25 22:11 166K 
[   ]libzrtpcpp-4.7.0-r0.apk2025-01-04 22:55 167K 
[   ]py3-html5-parser-0.4.12-r1.apk2024-10-25 22:12 167K 
[   ]binwalk-pyc-2.4.3-r0.apk2024-12-01 18:24 167K 
[   ]lomiri-ui-toolkit-dev-1.3.5110-r0.apk2025-01-10 11:15 168K 
[   ]66-doc-0.8.0.1-r0.apk2025-01-12 14:12 168K 
[   ]hy-pyc-1.0.0-r0.apk2024-10-25 22:11 169K 
[   ]ocaml-sexplib0-0.16.0-r0.apk2024-10-25 22:12 169K 
[   ]perl-mce-doc-1.901-r0.apk2025-01-03 15:34 170K 
[   ]zvbi-0.2.43-r0.apk2024-12-07 21:31 170K 
[   ]youtube-viewer-gtk-3.11.1-r0.apk2024-10-25 22:13 171K 
[   ]ocaml-psq-dev-0.2.0-r2.apk2024-10-25 22:12 171K 
[   ]trace-cmd-doc-3.3.1-r1.apk2025-01-19 12:55 171K 
[   ]py3-asyauth-pyc-0.0.21-r0.apk2024-10-25 22:12 171K 
[   ]kdiskmark-3.1.4-r1.apk2024-10-25 22:11 172K 
[   ]py3-flask-peewee-3.0.6-r0.apk2024-10-25 22:12 172K 
[   ]libretro-frodo-0_git20221221-r0.apk2024-10-25 22:11 172K 
[   ]kimchi-lang-3.0.0-r7.apk2024-10-25 22:11 172K 
[   ]wk-adblock-0.0.4-r5.apk2024-10-25 22:13 172K 
[   ]trace-cmd-3.3.1-r1.apk2025-01-19 12:55 173K 
[   ]commoncpp-dev-7.0.1-r1.apk2024-10-25 22:11 173K 
[   ]wolfssh-dev-1.4.17-r0.apk2024-10-25 22:13 173K 
[   ]py3-langcodes-3.3.0-r2.apk2024-10-25 22:12 174K 
[   ]spread-sheet-widget-dbg-0.10-r0.apk2024-10-25 22:12 174K 
[   ]qqc2-suru-style-0.20230206-r1.apk2024-10-25 22:12 175K 
[   ]lumina-desktop-fileinfo-1.6.2-r0.apk2024-10-25 22:11 175K 
[   ]perl-extutils-makemaker-7.70-r2.apk2024-10-25 22:12 175K 
[   ]lomiri-filemanager-app-lang-1.0.4-r0.apk2024-10-25 22:11 175K 
[   ]ocaml-angstrom-0.16.0-r0.apk2024-10-25 22:11 175K 
[   ]ocaml-base64-dev-3.5.0-r2.apk2024-10-25 22:11 175K 
[   ]libm4ri-static-20240729-r2.apk2025-01-15 19:23 176K 
[   ]olsrd-plugins-0.9.8-r3.apk2024-10-25 22:12 176K 
[   ]mimalloc1-debug-1.8.6-r0.apk2024-10-25 22:11 176K 
[   ]lizardfs-metalogger-3.13.0-r14.apk2024-12-03 15:32 176K 
[   ]py3-netmiko-4.4.0-r0.apk2024-10-25 22:12 176K 
[   ]pyinfra-3.1.1-r0.apk2024-10-25 22:12 176K 
[   ]liblastfm-qt-1.1.10_git20190823-r3.apk2024-10-25 22:11 176K 
[   ]click-pyc-0.5.2-r3.apk2024-10-25 22:11 176K 
[   ]libemf2svg-1.1.0-r2.apk2024-10-25 22:11 177K 
[   ]ocaml-libvirt-0.6.1.7-r0.apk2024-10-25 22:12 177K 
[   ]telegram-tdlib-dev-1.8.42-r0.apk2025-01-03 20:10 177K 
[   ]libqd-2.3.24-r0.apk2024-10-25 22:11 177K 
[   ]winetricks-20240105-r0.apk2024-11-12 11:49 177K 
[   ]py3-ovos-plugin-manager-pyc-0.6.0-r0.apk2024-11-21 14:32 178K 
[   ]py3-tlslite-ng-0.7.6-r8.apk2024-10-25 22:12 179K 
[   ]libxo-1.7.5-r0.apk2025-01-12 23:45 179K 
[   ]glfw-wayland-dbg-3.3.8-r3.apk2024-10-25 22:11 179K 
[   ]py3-apicula-pyc-0.11.1-r1.apk2024-10-25 22:12 179K 
[   ]hyfetch-pyc-1.99.0-r1.apk2024-10-25 22:11 180K 
[   ]snapper-lang-0.12.0-r0.apk2024-11-18 19:32 180K 
[   ]ocaml-astring-dev-0.8.5-r2.apk2024-10-25 22:11 180K 
[   ]py3-qpageview-pyc-0.6.2-r1.apk2024-10-25 22:12 180K 
[   ]ptpd-2.3.1-r1.apk2024-10-25 22:12 180K 
[   ]perl-git-raw-0.90-r2.apk2024-11-22 19:16 181K 
[   ]thermald-2.5.8-r0.apk2024-11-12 12:29 181K 
[   ]lomiri-libusermetrics-1.3.3-r0.apk2024-10-25 22:11 181K 
[   ]pympress-1.8.5-r1.apk2024-10-25 22:12 181K 
[   ]lumina-desktop-archiver-1.6.2-r0.apk2024-10-25 22:11 182K 
[   ]ocaml-mew_vi-0.5.0-r3.apk2024-10-25 22:12 182K 
[   ]pympress-pyc-1.8.5-r1.apk2024-10-25 22:12 182K 
[   ]perl-ffi-platypus-2.10-r0.apk2024-12-19 06:12 182K 
[   ]py3-libguestfs-1.52.0-r1.apk2024-10-25 22:12 182K 
[   ]libqd-doc-2.3.24-r0.apk2024-10-25 22:11 182K 
[   ]tcl9-dev-9.0.1-r0.apk2024-12-22 07:22 183K 
[   ]iotas-0.9.5-r0.apk2024-12-07 21:32 184K 
[   ]anari-sdk-static-0.7.2-r0.apk2024-10-25 22:10 185K 
[   ]dodo-0_git20241007-r0.apk2024-10-25 22:11 186K 
[   ]lumina-desktop-screenshot-1.6.2-r0.apk2024-10-25 22:11 186K 
[   ]libm4rie-20200125-r5.apk2025-01-15 19:23 186K 
[   ]guake-pyc-3.10-r1.apk2024-10-25 22:11 186K 
[   ]hdf4-tools-4.2.15-r2.apk2024-10-25 22:11 186K 
[   ]dnssec-tools-dev-2.2.3-r12.apk2024-10-25 22:11 186K 
[   ]py3-pdal-3.4.5-r0.apk2024-11-05 22:54 186K 
[   ]py3-ly-0.9.8-r1.apk2024-10-25 22:12 187K 
[   ]ocaml-fmt-0.9.0-r2.apk2024-10-25 22:12 188K 
[   ]guake-lang-3.10-r1.apk2024-10-25 22:11 188K 
[   ]ocaml-lambdasoup-0.7.3-r2.apk2024-10-25 22:12 188K 
[   ]pcsc-tools-1.7.2-r0.apk2024-10-25 22:12 188K 
[   ]ocaml-charinfo_width-dev-1.1.0-r3.apk2024-10-25 22:12 188K 
[   ]php81-pecl-timezonedb-2025.1-r0.apk2025-01-19 12:55 188K 
[   ]mrsh-dbg-0_git20210518-r1.apk2024-10-25 22:11 188K 
[   ]aravis-libs-0.8.31-r0.apk2024-10-25 22:10 189K 
[   ]py3-xsdata-24.12-r0.apk2024-12-23 22:50 189K 
[   ]aufs-util-20161219-r3.apk2024-10-25 22:10 189K 
[   ]xandikos-pyc-0.2.12-r1.apk2024-11-25 04:43 189K 
[   ]ocaml-uunf-dev-14.0.0-r2.apk2024-10-25 22:12 189K 
[   ]libretro-mu-0_git20220317-r0.apk2024-10-25 22:11 189K 
[   ]sublime-music-0.12.0-r1.apk2024-10-25 22:12 190K 
[   ]gearmand-doc-1.1.21-r1.apk2024-10-25 22:11 190K 
[   ]nitro-dev-2.7_beta8-r2.apk2024-10-25 22:11 190K 
[   ]lomiri-indicator-network-lang-1.1.0-r0.apk2025-01-10 11:15 190K 
[   ]py3-edalize-pyc-0.5.4-r0.apk2024-10-25 22:12 190K 
[   ]psftools-1.1.2-r0.apk2024-10-25 22:12 190K 
[   ]mjpg-streamer-0_git20210220-r1.apk2024-10-25 22:11 191K 
[   ]libretro-opera-0_git20211214-r0.apk2024-10-25 22:11 191K 
[   ]py3-avro-pyc-1.11.3-r1.apk2024-10-25 22:12 191K 
[   ]ocaml-react-dev-1.2.2-r2.apk2024-10-25 22:12 193K 
[   ]libretro-gw-0_git20220410-r0.apk2024-10-25 22:11 193K 
[   ]ocaml-mirage-flow-dev-3.0.0-r3.apk2024-10-25 22:12 193K 
[   ]py3-owslib-0.32.0-r0.apk2024-11-07 23:59 193K 
[   ]libsimpleble-0.6.1-r2.apk2024-12-14 20:46 194K 
[   ]nlopt-2.9.1-r0.apk2024-12-28 06:46 194K 
[   ]makedumpfile-1.7.6-r0.apk2024-10-28 05:12 194K 
[   ]virtme-ng-1.32-r0.apk2025-01-08 13:20 195K 
[   ]android-file-transfer-4.3-r0.apk2024-10-25 22:10 195K 
[   ]py3-netifaces2-0.0.22-r0.apk2024-10-25 22:12 195K 
[   ]py3-m2crypto-0.41.0-r2.apk2024-10-25 22:12 195K 
[   ]endeavour-43.0-r2.apk2024-12-08 22:39 195K 
[   ]gingerbase-2.3.0-r7.apk2024-10-25 22:11 195K 
[   ]sedutil-1.15.1-r1.apk2024-10-25 22:12 195K 
[   ]mspdebug-0.25-r1.apk2024-10-25 22:11 196K 
[   ]moosefs-3.0.117-r2.apk2024-10-25 22:11 196K 
[   ]py3-dateparser-1.2.0-r0.apk2024-11-23 05:14 197K 
[   ]gearmand-1.1.21-r1.apk2024-10-25 22:11 197K 
[   ]linux-apfs-rw-src-0.3.8-r0.apk2024-10-25 22:11 197K 
[   ]libretro-gme-0_git20240628-r0.apk2024-10-25 22:11 198K 
[   ]opendht-3.1.7-r5.apk2024-12-14 20:46 198K 
[   ]font-tinos-0_git20210228-r0.apk2024-10-25 22:11 199K 
[   ]libettercap-0.8.3.1-r3.apk2024-10-25 22:11 200K 
[   ]poke-doc-4.2-r0.apk2024-10-25 22:12 201K 
[   ]flashprog-libs-1.3-r0.apk2024-11-12 17:00 201K 
[   ]perl-module-generic-doc-0.37.7-r0.apk2024-11-01 15:30 201K 
[   ]remake-doc-1.5-r1.apk2024-10-25 22:12 202K 
[   ]sane-airscan-0.99.31-r0.apk2025-01-12 14:30 202K 
[   ]mongo-cxx-driver-3.8.0-r0.apk2024-10-25 22:11 202K 
[   ]qt6ct-0.9-r3.apk2024-12-09 20:39 203K 
[   ]endeavour-lang-43.0-r2.apk2024-12-08 22:39 203K 
[   ]burp-3.1.4-r0.apk2024-10-25 22:11 203K 
[   ]csfml-doc-2.5.2-r0.apk2024-10-25 22:11 204K 
[   ]ocaml-ssl-dev-0.7.0-r0.apk2024-10-25 22:12 204K 
[   ]py3-slidge-style-parser-0.1.8-r0.apk2024-10-25 22:12 204K 
[   ]zydis-4.1.0-r0.apk2024-10-25 22:13 205K 
[   ]font-fontawesome-4-4.7.0-r3.apk2024-10-25 22:11 205K 
[   ]hamster-time-tracker-lang-3.0.3-r2.apk2024-10-25 22:11 206K 
[   ]apache-mod-auth-openidc-2.4.16.4-r0.apk2024-10-25 22:10 206K 
[   ]perl-sys-virt-10.6.0-r0.apk2024-10-25 22:12 206K 
[   ]hiawatha-11.6-r0.apk2024-10-25 22:11 206K 
[   ]ovos-core-pyc-0.2.17-r0.apk2024-11-25 13:41 206K 
[   ]ginger-pyc-2.4.0-r7.apk2024-10-25 22:11 207K 
[   ]mint-y-theme-xfwm4-2.1.1-r0.apk2024-10-25 22:11 207K 
[   ]py3-litex-hub-pythondata-cpu-marocchino-2024.04-r0.apk2024-10-25 22:12 208K 
[   ]ocaml-magic-mime-1.3.1-r0.apk2024-10-25 22:12 210K 
[   ]py3-flask-security-pyc-5.4.3-r2.apk2024-10-25 22:12 211K 
[   ]ocaml-omod-dev-0.0.3-r3.apk2024-10-25 22:12 211K 
[   ]lumina-desktop-textedit-1.6.2-r0.apk2024-10-25 22:11 211K 
[   ]flashprog-1.3-r0.apk2024-11-12 17:00 211K 
[   ]mkosi-24.3-r0.apk2024-10-25 22:11 212K 
[   ]ocaml-calendar-2.04-r4.apk2024-10-25 22:11 212K 
[   ]hfst-dev-3.16.0-r2.apk2024-10-25 22:11 213K 
[   ]mingw-w64-libusb-1.0.27-r0.apk2024-10-25 22:11 213K 
[   ]draco-dev-1.5.7-r1.apk2024-10-25 22:11 213K 
[   ]iwasm-gc-2.2.0-r0.apk2024-12-05 16:55 213K 
[   ]ocaml-xml-light-2.5-r0.apk2024-10-25 22:12 214K 
[   ]boinc-libs-7.24.3-r0.apk2024-10-25 22:11 214K 
[   ]py3-simplesat-0.8.2-r0.apk2024-10-25 22:12 214K 
[   ]soapy-sdr-remote-0.5.2-r1.apk2024-10-25 22:12 214K 
[   ]py3-b2sdk-2.7.0-r0.apk2024-12-20 07:00 215K 
[   ]chicago95-fonts-3.0.1_git20240619-r0.apk2024-10-25 22:11 215K 
[   ]warpinator-1.8.7-r0.apk2024-12-24 11:09 215K 
[   ]plplot-libs-5.15.0-r2.apk2024-10-25 22:12 216K 
[   ]ocaml-camlzip-dev-1.11-r2.apk2024-10-25 22:11 216K 
[   ]lumina-desktop-mediaplayer-1.6.2-r0.apk2024-10-25 22:11 216K 
[   ]cpu-x-lang-5.0.4-r1.apk2024-11-16 16:11 216K 
[   ]libzvbi-0.2.43-r0.apk2024-12-07 21:31 217K 
[   ]jotdown-0.4.0-r0.apk2024-10-25 22:11 217K 
[   ]mailutils-3.18-r0.apk2025-01-09 06:56 218K 
[   ]cddlib-0.94m-r2.apk2024-10-25 22:11 219K 
[   ]ff2mpv-rust-1.1.5-r0.apk2025-01-04 23:31 219K 
[   ]mir-test-tools-2.15.0-r4.apk2024-10-25 22:11 219K 
[   ]opensm-dev-3.3.24-r2.apk2024-10-25 22:12 219K 
[   ]libvmaf-dev-3.0.0-r0.apk2024-10-25 22:11 219K 
[   ]gmic-doc-3.3.5-r1.apk2024-11-21 23:55 219K 
[   ]java-jtharness-examples-6.0_p12-r0.apk2024-10-25 22:11 219K 
[   ]cz-viator-hourglass-black-20210706-r0.apk2024-10-25 22:11 219K 
[   ]py3-spnego-pyc-0.11.2-r0.apk2025-01-16 08:52 219K 
[   ]nuklear-4.12.0-r0.apk2024-10-25 22:11 220K 
[   ]uxplay-1.71-r0.apk2024-12-14 23:36 220K 
[   ]syncthing-gtk-pyc-0.9.4.5-r2.apk2024-10-25 22:12 221K 
[   ]py3-duniterpy-1.1.1-r3.apk2024-10-25 22:12 221K 
[   ]py3-litex-hub-pythondata-cpu-mor1kx-2024.04-r0.apk2024-10-25 22:12 221K 
[   ]belcard-libs-5.3.83-r0.apk2024-10-25 22:10 222K 
[   ]lomiri-settings-components-1.1.2-r0.apk2025-01-10 11:15 222K 
[   ]py3-cmd2-pyc-2.4.3-r2.apk2024-10-25 22:12 223K 
[   ]warpinator-lang-1.8.7-r0.apk2024-12-24 11:09 224K 
[   ]horizon-0.9.6-r9.apk2024-10-25 22:11 225K 
[   ]parcellite-1.2.5-r0.apk2024-10-25 22:12 225K 
[   ]hunspell-es-ar-2.7-r0.apk2024-10-25 22:11 226K 
[   ]amber-mpris-1.2.9-r0.apk2024-12-22 18:04 227K 
[   ]py3-github3-pyc-4.0.1-r1.apk2024-10-25 22:12 227K 
[   ]pdm-2.18.2-r0.apk2024-10-25 22:12 227K 
[   ]gpscorrelate-doc-2.2-r0.apk2024-11-12 12:29 228K 
[   ]purple-hangouts-0_git20200422-r0.apk2024-10-25 22:12 228K 
[   ]getting-things-gnome-lang-0.6-r4.apk2024-12-08 22:39 229K 
[   ]php81-pecl-xlswriter-1.5.8-r0.apk2024-11-11 02:44 229K 
[   ]py3-imdbpy-2021.4.18-r5.apk2024-10-25 22:12 229K 
[   ]lomiri-libusermetrics-doc-1.3.3-r0.apk2024-10-25 22:11 230K 
[   ]py3-litex-hub-pythondata-cpu-picorv32-2024.04-r0.apk2024-10-25 22:12 230K 
[   ]kgraphviewer-lang-2.5.0-r0.apk2024-10-25 22:11 230K 
[   ]chocolate-doom-doc-3.1.0-r0.apk2024-10-25 22:11 231K 
[   ]py3-asysocks-pyc-0.2.13-r0.apk2024-10-25 22:12 232K 
[   ]komikku-lang-1.67.0-r0.apk2025-01-12 14:12 232K 
[   ]py3-pelican-4.9.1-r2.apk2024-10-25 22:12 234K 
[   ]gmid-2.1.1-r0.apk2024-11-27 20:26 234K 
[   ]dum-0.1.19-r1.apk2024-10-25 22:11 234K 
[   ]lomiri-weather-app-5.13.5-r1.apk2024-10-25 22:11 235K 
[   ]py3-tpm2-pytss-pyc-2.3.0-r1.apk2024-10-25 22:12 236K 
[   ]ocaml-cmdliner-dev-1.1.1-r3.apk2024-10-25 22:12 236K 
[   ]sigma-0.23.1-r1.apk2024-10-25 22:12 237K 
[   ]lomiri-docviewer-app-3.0.4-r0.apk2024-10-25 22:11 237K 
[   ]lomiri-clock-app-4.1.0-r0.apk2024-12-02 12:46 238K 
[   ]soqt-1.6.3-r0.apk2024-12-13 21:44 239K 
[   ]ptyxis-lang-47.6-r0.apk2024-12-06 23:37 239K 
[   ]py3-kikit-1.6.0-r0.apk2024-10-25 22:12 239K 
[   ]py3-scrapy-2.11.1-r1.apk2024-10-25 22:12 240K 
[   ]hdf4-4.2.15-r2.apk2024-10-25 22:11 240K 
[   ]libecm-7.0.5-r1.apk2024-10-25 22:11 240K 
[   ]qt5ct-1.8-r0.apk2024-10-25 22:12 241K 
[   ]ocaml-metrics-0.4.0-r3.apk2024-10-25 22:12 242K 
[   ]lomiri-thumbnailer-3.0.4-r0.apk2025-01-10 11:15 242K 
[   ]py3-imdbpy-pyc-2021.4.18-r5.apk2024-10-25 22:12 243K 
[   ]py3-okonomiyaki-pyc-2.0.0-r0.apk2024-10-25 22:12 243K 
[   ]ocaml-sha-dev-1.15.4-r0.apk2024-10-25 22:12 243K 
[   ]libgivaro-dev-4.2.0-r2.apk2024-10-25 22:11 244K 
[   ]py3-kazoo-pyc-0_git20211202-r4.apk2024-10-25 22:12 245K 
[   ]py3-pika-pyc-1.3.2-r1.apk2024-10-25 22:12 246K 
[   ]gst-rtsp-server-1.24.10-r0.apk2024-12-05 13:45 247K 
[   ]libretro-cannonball-0_git20220309-r6.apk2024-10-25 22:11 248K 
[   ]visidata-2.11.1-r2.apk2024-10-25 22:13 248K 
[   ]mkdocs-rtd-dropdown-1.0.2-r5.apk2024-10-25 22:11 248K 
[   ]libm4rie-static-20200125-r5.apk2025-01-15 19:23 249K 
[   ]cluster-glue-1.0.12-r5.apk2024-10-25 22:11 249K 
[   ]mkdocs-cinder-1.2.0-r5.apk2024-10-25 22:11 249K 
[   ]font-comic-neue-2.51-r0.apk2024-10-25 22:11 249K 
[   ]scap-workbench-1.2.1-r3.apk2024-10-25 22:12 249K 
[   ]linphone-dev-5.3.38-r0.apk2024-10-25 22:11 250K 
[   ]venc-3.2.4-r0.apk2024-10-25 22:13 251K 
[   ]solanum-5.0.0-r0.apk2024-10-25 22:12 251K 
[   ]libqd-static-2.3.24-r0.apk2024-10-25 22:11 251K 
[   ]font-commit-mono-1.143-r0.apk2024-10-25 22:11 251K 
[   ]trantor-1.5.18-r0.apk2024-10-25 22:12 252K 
[   ]gpa-0.10.0-r2.apk2024-10-25 22:11 252K 
[   ]nwg-panel-pyc-0.9.61-r0.apk2025-01-14 17:36 252K 
[   ]perl-module-generic-0.37.7-r0.apk2024-11-01 15:30 253K 
[   ]lomiri-lang-0.3.0-r0.apk2024-10-25 22:11 253K 
[   ]speedtest-5.2.5-r1.apk2024-10-25 22:12 253K 
[   ]ptyxis-47.6-r0.apk2024-12-06 23:37 254K 
[   ]avra-dev-1.4.2-r0.apk2024-10-25 22:10 255K 
[   ]xfe-xfp-1.46.2-r0.apk2024-10-25 22:13 255K 
[   ]ocaml-lwt_log-dev-1.1.1-r5.apk2024-10-25 22:12 255K 
[   ]ocaml-num-1.4-r3.apk2024-10-25 22:12 255K 
[   ]ocaml-mqtt-dev-0.2.2-r0.apk2024-10-25 22:12 256K 
[   ]py3-levenshtein-0.26.1-r0.apk2024-12-19 06:14 257K 
[   ]ginger-2.4.0-r7.apk2024-10-25 22:11 257K 
[   ]dcmtk-doc-3.6.9-r0.apk2025-01-19 18:13 257K 
[   ]66-0.8.0.1-r0.apk2025-01-12 14:12 257K 
[   ]appcenter-lang-8.0.0-r0.apk2024-11-12 22:55 258K 
[   ]ocaml-ocplib-endian-dev-1.2-r3.apk2024-10-25 22:12 259K 
[   ]apache-mod-auth-openidc-static-2.4.16.4-r0.apk2024-10-25 22:10 260K 
[   ]mkdocs-bootstrap4-0.1.5-r5.apk2024-10-25 22:11 260K 
[   ]vera++-1.3.0-r10.apk2024-10-25 22:13 261K 
[   ]platformio-core-6.1.7-r3.apk2024-10-25 22:12 263K 
[   ]swayhide-0.2.1-r2.apk2024-10-25 22:12 263K 
[   ]py3-minikerberos-pyc-0.4.4-r1.apk2024-10-25 22:12 264K 
[   ]postgresql-pgmq-1.1.1-r1.apk2024-10-25 22:12 264K 
[   ]openttd-doc-14.1-r0.apk2024-10-25 22:12 264K 
[   ]objconv-2.52_git20210213-r2.apk2024-10-25 22:11 264K 
[   ]font-anonymous-pro-1.002-r2.apk2024-10-25 22:11 264K 
[   ]ocaml-down-dev-0.1.0-r3.apk2024-10-25 22:12 266K 
[   ]py3-flask-security-5.4.3-r2.apk2024-10-25 22:12 267K 
[   ]xtensor-0.25.0-r0.apk2025-01-15 03:52 267K 
[   ]restinio-dev-0.6.19-r1.apk2024-12-14 20:46 268K 
[   ]irccd-4.0.3-r0.apk2024-10-25 22:11 268K 
[   ]py3-iso639-lang-2.2.3-r0.apk2024-10-25 22:12 269K 
[   ]devil-1.8.0-r0.apk2024-10-25 22:11 269K 
[   ]muon-0.3.0-r0.apk2024-10-25 22:11 270K 
[   ]pdal-python-plugins-1.6.2-r0.apk2025-01-13 07:34 270K 
[   ]ocaml-uucd-14.0.0-r2.apk2024-10-25 22:12 271K 
[   ]ocaml-integers-dev-0.7.0-r2.apk2024-10-25 22:12 271K 
[   ]tup-0.7.11-r0.apk2024-10-25 22:13 271K 
[   ]lynis-3.1.1-r0.apk2024-10-25 22:11 271K 
[   ]drawing-lang-1.0.2-r0.apk2024-10-25 22:11 272K 
[   ]snapraid-12.3-r0.apk2024-10-25 22:12 272K 
[   ]uasm-2.56.2-r0.apk2024-10-25 22:13 273K 
[   ]py3-tpm2-pytss-2.3.0-r1.apk2024-10-25 22:12 273K 
[   ]py3-kikit-pyc-1.6.0-r0.apk2024-10-25 22:12 274K 
[   ]libzvbi-static-0.2.43-r0.apk2024-12-07 21:31 274K 
[   ]wlroots0.12-0.12.0-r1.apk2024-10-25 22:13 275K 
[   ]py3-anyascii-0.3.2-r1.apk2024-10-25 22:12 275K 
[   ]sfwbar-1.0_beta16-r1.apk2024-12-12 20:02 275K 
[   ]py3-tlslite-ng-pyc-0.7.6-r8.apk2024-10-25 22:12 275K 
[   ]nwg-panel-0.9.61-r0.apk2025-01-14 17:36 275K 
[   ]gaupol-1.12-r2.apk2024-10-25 22:11 276K 
[   ]xgalaga-2.1.1.0-r1.apk2024-10-25 22:13 276K 
[   ]lomiri-ui-extras-0.6.3-r1.apk2024-10-25 22:11 277K 
[   ]gaupol-lang-1.12-r2.apk2024-10-25 22:11 277K 
[   ]masky-0.2.0-r1.apk2024-10-25 22:11 278K 
[   ]ocaml-otr-0.3.10-r2.apk2024-10-25 22:12 278K 
[   ]py3-pynest2d-5.2.2-r4.apk2024-10-25 22:12 278K 
[   ]guestfs-tools-1.52.0-r1.apk2024-10-25 22:11 278K 
[   ]xfe-xfi-1.46.2-r0.apk2024-10-25 22:13 278K 
[   ]py3-cssutils-pyc-2.11.1-r1.apk2024-10-25 22:12 279K 
[   ]ocaml-react-1.2.2-r2.apk2024-10-25 22:12 280K 
[   ]mediascanner2-0.115-r0.apk2024-10-25 22:11 280K 
[   ]par2cmdline-turbo-1.2.0-r0.apk2024-12-10 17:43 280K 
[   ]libretro-crocods-0_git20210314-r1.apk2024-10-25 22:11 281K 
[   ]vectoroids-1.1.0-r2.apk2024-10-25 22:13 281K 
[   ]font-intel-one-mono-1.3.0-r0.apk2024-10-25 22:11 281K 
[   ]ocaml-astring-0.8.5-r2.apk2024-10-25 22:11 283K 
[   ]fiery-2.0.0-r0.apk2024-10-25 22:11 284K 
[   ]tree-sitter-haskell-0.23.1-r0.apk2025-01-09 16:52 284K 
[   ]ocaml-conduit-6.1.0-r0.apk2024-10-25 22:12 284K 
[   ]advancescan-1.18-r1.apk2024-10-25 22:10 284K 
[   ]toybox-0.8.11-r1.apk2024-10-25 22:12 284K 
[   ]cddlib-static-0.94m-r2.apk2024-10-25 22:11 285K 
[   ]moosefs-master-3.0.117-r2.apk2024-10-25 22:11 285K 
[   ]slidge-pyc-0.1.3-r0.apk2024-10-25 22:12 285K 
[   ]libretro-atari800-0_git20240924-r0.apk2024-10-25 22:11 286K 
[   ]py3-cassandra-driver-3.29.2-r0.apk2024-10-25 22:12 286K 
[   ]moosefs-client-3.0.117-r2.apk2024-10-25 22:11 286K 
[   ]emacs-ement-0.15.1-r0.apk2024-10-25 22:11 287K 
[   ]py3-imageio-2.35.1-r0.apk2024-10-25 22:12 287K 
[   ]phoronix-test-suite-doc-10.8.4-r2.apk2024-10-25 22:12 287K 
[   ]lomiri-weather-app-lang-5.13.5-r1.apk2024-10-25 22:11 288K 
[   ]stardict-lang-3.0.6-r6.apk2024-10-25 22:12 290K 
[   ]gede-2.18.2-r1.apk2024-10-25 22:11 290K 
[   ]timewarrior-1.7.1-r0.apk2024-10-25 22:12 290K 
[   ]lsmash-2.14.5-r2.apk2024-10-25 22:11 292K 
[   ]libfyaml-0.9-r0.apk2024-10-25 22:11 293K 
[   ]xvkbd-4.1-r2.apk2024-10-25 22:13 293K 
[   ]py3-python-stdnum-pyc-1.20-r0.apk2024-10-25 22:12 293K 
[   ]timew-1.4.3-r1.apk2024-10-25 22:12 294K 
[   ]ocaml-mew_vi-dev-0.5.0-r3.apk2024-10-25 22:12 294K 
[   ]py3-migen-pyc-0.9.2-r2.apk2024-10-25 22:12 296K 
[   ]wlroots0.15-0.15.1-r6.apk2024-10-25 22:13 296K 
[   ]tmate-2.4.0-r4.apk2024-10-25 22:12 296K 
[   ]mergerfs-2.40.2-r1.apk2024-10-25 22:11 297K 
[   ]percona-toolkit-doc-3.5.4-r1.apk2024-10-25 22:12 298K 
[   ]hex-0.6.0-r0.apk2024-10-25 22:11 298K 
[   ]xfe-xfw-1.46.2-r0.apk2024-10-25 22:13 298K 
[   ]anari-sdk-0.7.2-r0.apk2024-10-25 22:10 299K 
[   ]py3-gnucash-5.10-r0.apk2024-12-27 12:35 300K 
[   ]lomiri-content-hub-2.0.0-r0.apk2024-10-25 22:11 300K 
[   ]iotas-pyc-0.9.5-r0.apk2024-12-07 21:32 300K 
[   ]perl-dancer2-doc-1.1.2-r0.apk2024-12-28 05:54 301K 
[   ]pypy3-tkinter-7.3.12-r0.apk2024-10-25 22:12 301K 
[   ]libqofono-qt5-0.124-r0.apk2025-01-10 13:38 302K 
[   ]sublime-music-pyc-0.12.0-r1.apk2024-10-25 22:12 302K 
[   ]gnucash-dev-5.10-r0.apk2024-12-27 12:35 302K 
[   ]ocaml-pcre-dev-7.5.0-r4.apk2024-10-25 22:12 302K 
[   ]ocaml-curses-dev-1.0.10-r2.apk2024-10-25 22:12 303K 
[   ]apache2-mod-perl-doc-2.0.13-r1.apk2024-10-25 22:10 303K 
[   ]minisatip-1.3.4-r0.apk2024-10-25 22:11 303K 
[   ]libretro-cap32-0_git20220419-r0.apk2024-10-25 22:11 303K 
[   ]opmsg-1.84-r1.apk2024-10-25 22:12 304K 
[   ]perl-libintl-perl-1.35-r0.apk2025-01-16 14:35 305K 
[   ]guake-3.10-r1.apk2024-10-25 22:11 305K 
[   ]py3-plexapi-pyc-4.16.1-r0.apk2025-01-12 18:48 306K 
[   ]morph-browser-lang-1.1.1-r0.apk2024-10-25 22:11 306K 
[   ]rizin-dev-0.6.3-r1.apk2024-10-25 22:12 306K 
[   ]waylevel-1.0.0-r1.apk2024-10-25 22:13 306K 
[   ]ocaml-notty-0.2.3-r0.apk2024-10-25 22:12 308K 
[   ]libretro-nxengine-0_git20220301-r0.apk2024-10-25 22:11 308K 
[   ]ocaml-fileutils-0.6.4-r2.apk2024-10-25 22:12 309K 
[   ]plplot-doc-5.15.0-r2.apk2024-10-25 22:12 311K 
[   ]ocaml-ipaddr-5.3.1-r2.apk2024-10-25 22:12 311K 
[   ]ocaml-magic-mime-dev-1.3.1-r0.apk2024-10-25 22:12 311K 
[   ]flint-dev-2.9.0-r2.apk2024-10-25 22:11 311K 
[   ]py3-xdoctest-1.2.0-r0.apk2024-11-21 14:32 312K 
[   ]ircd-hybrid-8.2.45-r1.apk2024-10-25 22:11 313K 
[   ]ocaml-asn1-combinators-0.2.6-r2.apk2024-10-25 22:11 313K 
[   ]py3-msldap-pyc-0.5.12-r0.apk2024-10-25 22:12 315K 
[   ]dnssec-tools-doc-2.2.3-r12.apk2024-10-25 22:11 315K 
[   ]font-fantasque-sans-normal-1.8.0-r0.apk2024-10-25 22:11 316K 
[   ]font-fantasque-sans-largelineheight-1.8.0-r0.apk2024-10-25 22:11 316K 
[   ]font-fantasque-sans-noloopk-1.8.0-r0.apk2024-10-25 22:11 316K 
[   ]font-fantasque-sans-largelineheightnoloopk-1.8.0-r0.apk2024-10-25 22:11 316K 
[   ]trigger-rally-0.6.7-r2.apk2024-10-25 22:12 317K 
[   ]pypykatz-0.6.10-r0.apk2024-10-25 22:12 318K 
[   ]tree-sitter-kotlin-0.3.8-r0.apk2024-10-25 22:12 318K 
[   ]ocaml-ocf-dev-0.8.0-r3.apk2024-10-25 22:12 318K 
[   ]commoncpp-7.0.1-r1.apk2024-10-25 22:11 318K 
[   ]libguestfs-1.52.0-r1.apk2024-10-25 22:11 318K 
[   ]welle-cli-2.6-r0.apk2024-12-21 23:25 320K 
[   ]ocaml-gen-1.1-r1.apk2024-10-25 22:12 320K 
[   ]gstreamermm-dev-1.10.0-r4.apk2024-10-25 22:11 320K 
[   ]flashprog-dev-1.3-r0.apk2024-11-12 17:00 320K 
[   ]ocaml-qtest-2.11.2-r3.apk2024-10-25 22:12 321K 
[   ]monetdb-doc-11.33.11-r4.apk2024-10-25 22:11 321K 
[   ]freediameter-extensions-1.5.0-r1.apk2024-10-25 22:11 323K 
[   ]opentelemetry-cpp-dev-1.11.0-r5.apk2024-11-11 19:20 323K 
[   ]spin-6.5.2-r1.apk2024-10-25 22:12 324K 
[   ]openwsman-libs-2.7.2-r5.apk2024-10-25 22:12 325K 
[   ]libretro-beetle-pcfx-0_git20220409-r0.apk2024-10-25 22:11 326K 
[   ]coin-dev-4.0.3-r0.apk2024-12-13 21:40 326K 
[   ]biometryd-0.3.1-r6.apk2024-11-12 20:15 327K 
[   ]spread-sheet-widget-dev-0.10-r0.apk2024-10-25 22:12 327K 
[   ]ocaml-omod-0.0.3-r3.apk2024-10-25 22:12 327K 
[   ]foma-0.10.0_git20240712-r0.apk2024-10-25 22:11 329K 
[   ]pw-volume-0.5.0-r1.apk2024-10-25 22:12 329K 
[   ]csmith-2.3.0-r2.apk2024-10-25 22:11 330K 
[   ]pyinfra-pyc-3.1.1-r0.apk2024-10-25 22:12 330K 
[   ]bees-0.10-r2.apk2024-10-25 22:10 330K 
[   ]lxqt-wayland-session-0.1.0-r0.apk2025-01-11 11:32 332K 
[   ]habitctl-0.1.0-r2.apk2024-10-25 22:11 332K 
[   ]py3-eventlet-0.38.1-r0.apk2024-12-11 22:35 332K 
[   ]diskus-0.7.0-r2.apk2024-10-25 22:11 332K 
[   ]perl-snmp-info-3.972002-r0.apk2024-10-30 07:00 333K 
[   ]py3-netmiko-pyc-4.4.0-r0.apk2024-10-25 22:12 334K 
[   ]py3-dateparser-pyc-1.2.0-r0.apk2024-11-23 05:14 334K 
[   ]libspatialindex-0_git20210205-r1.apk2024-10-25 22:11 334K 
[   ]diceware-1.0.1-r0.apk2025-01-13 23:49 334K 
[   ]libsemigroups-dev-2.7.3-r1.apk2024-12-14 20:46 335K 
[   ]ocaml-sexplib0-dev-0.16.0-r0.apk2024-10-25 22:12 335K 
[   ]py3-eventlet-pyc-0.38.1-r0.apk2024-12-11 22:35 336K 
[   ]mkosi-pyc-24.3-r0.apk2024-10-25 22:11 336K 
[   ]libsigrokdecode-0.5.3-r4.apk2024-10-25 22:11 337K 
[   ]litehtml-0.9-r1.apk2025-01-04 05:37 338K 
[   ]timoni-doc-0.23.0-r1.apk2025-01-19 12:55 338K 
[   ]php81-pear-8.1.31-r0.apk2024-11-20 02:22 338K 
[   ]coxeter-libs-3.0-r1.apk2024-10-25 22:11 338K 
[   ]projectm-sdl-3.1.12-r2.apk2024-10-25 22:12 339K 
[   ]fileshelter-6.2.0-r2.apk2024-12-07 01:23 340K 
[   ]sigma-pyc-0.23.1-r1.apk2024-10-25 22:12 340K 
[   ]ocaml-angstrom-dev-0.16.0-r0.apk2024-10-25 22:11 340K 
[   ]barman-3.12.1-r0.apk2024-12-31 17:20 341K 
[   ]ripdrag-0.4.10-r0.apk2024-10-25 22:12 343K 
[   ]ocaml-bos-dev-0.2.1-r2.apk2024-10-25 22:11 345K 
[   ]tree-sitter-c-sharp-0.23.1-r0.apk2024-11-13 01:51 345K 
[   ]ocaml-lambdasoup-dev-0.7.3-r2.apk2024-10-25 22:12 345K 
[   ]fflas-ffpack-2.5.0-r3.apk2024-10-25 22:11 345K 
[   ]bobcat-doc-4.09.00-r0.apk2024-10-25 22:11 345K 
[   ]gkrellm-2.3.11-r0.apk2025-01-08 23:36 346K 
[   ]wlroots0.16-0.16.2-r0.apk2024-10-28 14:47 348K 
[   ]perl-html-object-0.5.1-r0.apk2024-10-25 22:12 348K 
[   ]pympress-doc-1.8.5-r1.apk2024-10-25 22:12 348K 
[   ]cutechess-cli-1.3.1-r0.apk2024-10-25 22:11 349K 
[   ]rclone-browser-1.8.0-r1.apk2024-10-25 22:12 349K 
[   ]ocaml-utop-2.9.1-r4.apk2024-10-25 22:12 349K 
[   ]xml2rfc-3.25.0-r0.apk2024-12-12 20:37 350K 
[   ]php81-opcache-8.1.31-r0.apk2024-11-20 02:22 350K 
[   ]lomiri-filemanager-app-1.0.4-r0.apk2024-10-25 22:11 353K 
[   ]lomiri-app-launch-0.1.10-r0.apk2025-01-10 11:15 354K 
[   ]checkpolicy-3.6-r0.apk2024-10-25 22:11 354K 
[   ]py3-ly-pyc-0.9.8-r1.apk2024-10-25 22:12 355K 
[   ]perl-dbix-class-0.082844-r0.apk2025-01-16 18:27 355K 
[   ]libtins-4.5-r1.apk2024-10-25 22:11 357K 
[   ]py3-ovos-lingua-franca-0.4.8_alpha3-r2.apk2024-10-25 22:12 358K 
[   ]planner-0.14.92-r1.apk2024-12-08 22:39 358K 
[   ]hamster-time-tracker-pyc-3.0.3-r2.apk2024-10-25 22:11 358K 
[   ]py3-flask-admin-pyc-1.6.1-r3.apk2024-10-25 22:12 358K 
[   ]lsmash-dev-2.14.5-r2.apk2024-10-25 22:11 359K 
[   ]py3-pycaption-2.2.15-r0.apk2024-10-25 22:12 360K 
[   ]sndfile-tools-doc-1.5-r1.apk2024-10-25 22:12 361K 
[   ]libretro-beetle-pce-fast-0_git20220205-r0.apk2024-10-25 22:11 363K 
[   ]ocaml-biniou-dev-1.2.1-r5.apk2024-10-25 22:11 364K 
[   ]bartib-1.0.1-r1.apk2024-10-25 22:10 365K 
[   ]bkt-0.8.0-r0.apk2024-10-25 22:11 365K 
[   ]py3-django-suit-0.2.28-r8.apk2024-10-25 22:12 366K 
[   ]wcm-0.8.0-r0.apk2024-10-25 22:13 366K 
[   ]libuninameslist-20230916-r0.apk2024-10-25 22:11 368K 
[   ]schismtracker-20231029-r0.apk2024-10-25 22:12 368K 
[   ]gnome-latex-3.47.0-r2.apk2024-12-12 20:30 368K 
[   ]ovos-core-0.2.17-r0.apk2024-11-25 13:41 369K 
[   ]py3-bleak-0.22.3-r0.apk2024-10-25 22:12 370K 
[   ]jackdaw-pyc-0.3.1-r1.apk2024-10-25 22:11 370K 
[   ]toml2json-1.3.1-r0.apk2024-10-25 22:12 370K 
[   ]py3-igraph-pyc-0.11.8-r0.apk2024-11-23 18:32 371K 
[   ]libvmaf-3.0.0-r0.apk2024-10-25 22:11 371K 
[   ]code-minimap-0.6.7-r0.apk2024-12-12 20:38 371K 
[   ]singular-dev-4.4.0-r0.apk2024-10-25 22:12 371K 
[   ]modem-manager-gui-0.0.20-r0.apk2024-10-25 22:11 373K 
[   ]advancemame-doc-3.9-r4.apk2024-10-25 22:10 374K 
[   ]libntl-doc-11.5.1-r4.apk2024-10-25 22:11 374K 
[   ]3proxy-0.9.4-r1.apk2024-10-25 22:10 374K 
[   ]lomiri-calculator-app-4.0.2-r0.apk2024-10-25 22:11 375K 
[   ]libretro-beetle-supergrafx-0_git20220218-r0.apk2024-10-25 22:11 376K 
[   ]torrent-file-editor-0.3.18-r0.apk2024-10-25 22:12 376K 
[   ]kimchi-pyc-3.0.0-r7.apk2024-10-25 22:11 378K 
[   ]perl-snmp-info-doc-3.972002-r0.apk2024-10-30 07:00 379K 
[   ]gkrellm-lang-2.3.11-r0.apk2025-01-08 23:36 379K 
[   ]freshrss-lang-1.23.1-r1.apk2024-10-25 22:11 379K 
[   ]e16-lang-1.0.30-r0.apk2024-11-05 14:22 380K 
[   ]ocaml-parsexp-0.16.0-r0.apk2024-10-25 22:12 380K 
[   ]qtile-0.23.0-r2.apk2024-10-25 22:12 382K 
[   ]py3-hfst-3.16.0-r2.apk2024-10-25 22:12 382K 
[   ]py3-slixmpp-1.8.5-r2.apk2024-10-25 22:12 383K 
[   ]ocaml-xml-light-dev-2.5-r0.apk2024-10-25 22:12 383K 
[   ]php81-fileinfo-8.1.31-r0.apk2024-11-20 02:22 384K 
[   ]lgogdownloader-3.16-r0.apk2024-12-25 18:08 386K 
[   ]lomiri-history-service-0.6-r2.apk2024-12-07 13:41 386K 
[   ]py3-pacparser-1.4.5-r1.apk2024-10-25 22:12 386K 
[   ]mediastreamer2-5.3.41-r0.apk2024-10-25 22:11 387K 
[   ]py3-aioxmpp-0.13.3-r3.apk2024-10-25 22:12 388K 
[   ]nvim-treesitter-0.9.3-r0.apk2024-11-04 04:05 388K 
[   ]grip-4.2.4-r0.apk2024-10-25 22:11 388K 
[   ]py3-xsdata-pyc-24.12-r0.apk2024-12-23 22:50 389K 
[   ]wlroots0.17-0.17.4-r1.apk2024-11-23 10:00 390K 
[   ]zycore-doc-1.5.0-r0.apk2024-10-25 22:13 394K 
[   ]simgear-dev-2020.3.19-r1.apk2024-10-25 22:12 394K 
[   ]ocaml-ca-certs-nss-3.89.1-r1.apk2024-10-25 22:11 395K 
[   ]atlantik-3.5.10_git20240323-r0.apk2024-10-25 22:10 396K 
[   ]htslib-1.19-r0.apk2024-10-25 22:11 397K 
[   ]ocaml-topkg-dev-1.0.5-r2.apk2024-10-25 22:12 398K 
[   ]lizardfs-chunkserver-3.13.0-r14.apk2024-12-03 15:32 398K 
[   ]gdcm-3.0.24-r0.apk2024-10-25 22:11 399K 
[   ]py3-b2sdk-pyc-2.7.0-r0.apk2024-12-20 07:00 402K 
[   ]desed-1.2.1-r1.apk2024-10-25 22:11 403K 
[   ]xml2rfc-pyc-3.25.0-r0.apk2024-12-12 20:37 404K 
[   ]netdiscover-0.10-r0.apk2024-10-25 22:11 405K 
[   ]py3-igraph-0.11.8-r0.apk2024-11-23 18:32 405K 
[   ]vkbasalt-0.3.2.10-r0.apk2024-10-25 22:13 408K 
[   ]knxd-0.14.61-r1.apk2024-12-14 20:46 408K 
[   ]musikcube-plugin-server-3.0.4-r0.apk2024-10-25 22:11 409K 
[   ]welle-io-2.6-r0.apk2024-12-21 23:25 409K 
[   ]libretro-tyrquake-0_git20220409-r0.apk2024-10-25 22:11 409K 
[   ]aws-lc-dev-1.42.0-r1.apk2025-01-19 12:55 409K 
[   ]66-dev-0.8.0.1-r0.apk2025-01-12 14:12 409K 
[   ]gloox-1.0.28-r0.apk2024-10-25 22:11 410K 
[   ]startup-2.0.3-r5.apk2024-10-25 22:12 411K 
[   ]appcenter-8.0.0-r0.apk2024-11-12 22:55 411K 
[   ]cargo-sort-1.0.9_git20240110-r0.apk2024-10-25 22:11 412K 
[   ]lua5.1-luacov-html-1.0.0-r1.apk2024-10-25 22:11 413K 
[   ]lua5.2-luacov-html-1.0.0-r1.apk2024-10-25 22:11 413K 
[   ]lua5.3-luacov-html-1.0.0-r1.apk2024-10-25 22:11 413K 
[   ]drumgizmo-0.9.20-r1.apk2024-10-25 22:11 413K 
[   ]amber-0.3.3-r0.apk2024-10-25 22:10 415K 
[   ]openvpn3-3.8.5-r0.apk2024-10-25 22:12 418K 
[   ]noblenote-1.2.1-r1.apk2024-10-25 22:11 418K 
[   ]reaver-wps-fork-t6x-1.6.6-r1.apk2024-10-25 22:12 419K 
[   ]gaupol-pyc-1.12-r2.apk2024-10-25 22:11 419K 
[   ]river-luatile-0.1.3-r0.apk2024-10-25 22:12 420K 
[   ]perl-dbix-class-doc-0.082844-r0.apk2025-01-16 18:27 421K 
[   ]py3-owslib-pyc-0.32.0-r0.apk2024-11-07 23:59 421K 
[   ]birdtray-1.9.0-r1.apk2024-10-25 22:11 421K 
[   ]esptool-4.8.1-r0.apk2024-10-25 22:11 424K 
[   ]lumina-desktop-fm-1.6.2-r0.apk2024-10-25 22:11 426K 
[   ]ocaml-fix-dev-20220121-r2.apk2024-10-25 22:12 430K 
[   ]ocaml-uuidm-tools-0.9.8-r2.apk2024-10-25 22:12 430K 
[   ]font-stix-ttf-2.13-r0.apk2024-10-25 22:11 430K 
[   ]ocaml-jsonm-tools-1.0.2-r0.apk2024-10-25 22:12 432K 
[   ]hyfetch-1.99.0-r1.apk2024-10-25 22:11 433K 
[   ]critcl-3.3.1-r0.apk2024-10-25 22:11 434K 
[   ]ocaml-cairo2-dev-0.6.2-r2.apk2024-10-25 22:11 434K 
[   ]projectm-pulseaudio-3.1.12-r2.apk2024-10-25 22:12 434K 
[   ]mimalloc1-dev-1.8.6-r0.apk2024-10-25 22:11 436K 
[   ]py3-ovos-lingua-franca-pyc-0.4.8_alpha3-r2.apk2024-10-25 22:12 437K 
[   ]ocaml-otoml-1.0.5-r0.apk2024-10-25 22:12 437K 
[   ]exabgp-4.2.22-r0.apk2024-11-30 11:48 437K 
[   ]qtpass-1.4.0-r0.apk2024-10-25 22:12 438K 
[   ]nitrocli-0.4.1-r3.apk2024-10-25 22:11 438K 
[   ]spice-html5-0.3.0-r1.apk2024-10-25 22:12 438K 
[   ]pastel-0.10.0-r0.apk2024-10-25 22:12 439K 
[   ]syncthing-gtk-0.9.4.5-r2.apk2024-10-25 22:12 440K 
[   ]xsane-lang-0.999-r2.apk2024-10-25 22:13 440K 
[   ]lol-html-1.1.1-r1.apk2024-10-25 22:11 444K 
[   ]py3-aiohttp-debugtoolbar-0.6.1-r2.apk2024-10-25 22:12 446K 
[   ]libqofono-qt6-0.124-r0.apk2025-01-10 13:38 446K 
[   ]py3-telegram-bot-21.7-r0.apk2024-12-01 18:08 446K 
[   ]libguestfs-static-1.52.0-r1.apk2024-10-25 22:11 447K 
[   ]ocaml-ca-certs-nss-dev-3.89.1-r1.apk2024-10-25 22:11 447K 
[   ]ocaml-metrics-dev-0.4.0-r3.apk2024-10-25 22:12 448K 
[   ]cargo-run-bin-1.7.2-r0.apk2024-10-25 22:11 448K 
[   ]batmon-0.0.1-r0.apk2024-10-25 22:10 448K 
[   ]lomiri-clock-app-lang-4.1.0-r0.apk2024-12-02 12:46 449K 
[   ]neko-2.3.0-r0.apk2024-11-20 23:26 450K 
[   ]py3-flask-bootstrap-3.3.7.1-r8.apk2024-10-25 22:12 450K 
[   ]ocaml-ocp-index-1.3.6-r0.apk2024-10-25 22:12 451K 
[   ]gnome-metronome-1.3.0-r0.apk2024-10-25 22:11 451K 
[   ]lout-doc-3.42.2-r0.apk2024-10-25 22:11 453K 
[   ]pypy-tkinter-7.3.12-r0.apk2024-10-25 22:12 453K 
[   ]megazeux-doc-2.93b-r0.apk2024-10-25 22:11 454K 
[   ]diskonaut-0.11.0-r3.apk2024-10-25 22:11 454K 
[   ]mapnik-dev-3.1.0-r29.apk2024-11-08 01:09 454K 
[   ]ocaml-bos-0.2.1-r2.apk2024-10-25 22:11 455K 
[   ]ocaml-alcotest-1.5.0-r4.apk2024-10-25 22:11 457K 
[   ]cargo-vendor-filterer-0.5.9-r1.apk2024-10-25 22:11 458K 
[   ]qsynth-1.0.2-r0.apk2024-10-25 22:12 460K 
[   ]projectm-3.1.12-r2.apk2024-10-25 22:12 462K 
[   ]ocaml-cmdliner-1.1.1-r3.apk2024-10-25 22:12 462K 
[   ]featherpad-lang-1.5.1-r0.apk2024-10-25 22:11 463K 
[   ]gdcm-dev-3.0.24-r0.apk2024-10-25 22:11 463K 
[   ]svgbob-0.7.4-r0.apk2024-12-27 23:06 463K 
[   ]opensm-3.3.24-r2.apk2024-10-25 22:12 465K 
[   ]qstardict-2.0.2-r1.apk2024-11-24 04:04 465K 
[   ]ghostcloud-0.9.9.5-r2.apk2024-10-25 22:11 465K 
[   ]wordgrinder-0.8-r2.apk2024-10-25 22:13 466K 
[   ]wamrc-2.2.0-r0.apk2024-12-05 16:55 469K 
[   ]motion-lang-4.7.0-r0.apk2024-10-25 22:11 471K 
[   ]perl-html-object-doc-0.5.1-r0.apk2024-10-25 22:12 472K 
[   ]mm-common-1.0.5-r0.apk2024-10-25 22:11 473K 
[   ]trace-cmd-dbg-3.3.1-r1.apk2025-01-19 12:55 473K 
[   ]timeshift-24.06.5-r0.apk2024-12-05 21:47 473K 
[   ]libmedc-python-pyc-4.1.1-r4.apk2024-10-25 22:11 475K 
[   ]vcdimager-2.0.1-r4.apk2025-01-16 14:34 478K 
[   ]uucp-1.07-r6.apk2024-10-25 22:13 479K 
[   ]chicago95-3.0.1_git20240619-r0.apk2024-10-25 22:11 481K 
[   ]py3-scrapy-pyc-2.11.1-r1.apk2024-10-25 22:12 482K 
[   ]pdm-pyc-2.18.2-r0.apk2024-10-25 22:12 482K 
[   ]ocaml-sexplib-0.16.0-r0.apk2024-10-25 22:12 483K 
[   ]logwatch-7.10-r1.apk2024-10-25 22:11 483K 
[   ]htslib-static-1.19-r0.apk2024-10-25 22:11 484K 
[   ]ocaml-lwd-0.3-r0.apk2024-10-25 22:12 486K 
[   ]cln-1.3.7-r0.apk2024-10-25 22:11 486K 
[   ]9base-troff-6-r2.apk2024-10-25 22:10 487K 
[   ]mint-x-theme-gtk2-2.1.1-r0.apk2024-10-25 22:11 490K 
[   ]supermin-5.2.2-r2.apk2024-10-25 22:12 493K 
[   ]quakespasm-0.96.3-r0.apk2024-10-25 22:12 494K 
[   ]libantlr4-4.13.2-r0.apk2024-12-12 12:20 496K 
[   ]volatility3-2.8.0-r0.apk2024-12-05 18:17 496K 
[   ]getting-things-gnome-doc-0.6-r4.apk2024-12-08 22:39 497K 
[   ]visidata-pyc-2.11.1-r2.apk2024-10-25 22:13 497K 
[   ]py3-openwisp-utils-1.0.4-r4.apk2024-10-25 22:12 498K 
[   ]libretro-picodrive-0_git20220405-r0.apk2024-10-25 22:11 500K 
[   ]py3-litex-hub-pythondata-cpu-cva5-2024.04-r0.apk2024-10-25 22:12 500K 
[   ]libretro-openlara-0_git20210121-r0.apk2024-10-25 22:11 501K 
[   ]py3-pyinstaller-pyc-6.6.0-r0.apk2024-10-25 22:12 502K 
[   ]materia-dark-kde-plasma-20220823-r0.apk2024-10-25 22:11 503K 
[   ]scooper-1.3-r1.apk2024-10-25 22:12 504K 
[   ]py3-imageio-pyc-2.35.1-r0.apk2024-10-25 22:12 504K 
[   ]libsigrok-0.5.2-r3.apk2024-10-25 22:11 506K 
[   ]libretro-yabause-0_git20210411-r0.apk2024-10-25 22:11 506K 
[   ]mmtc-0.3.2-r0.apk2024-10-25 22:11 507K 
[   ]mint-x-theme-gtk4-2.1.1-r0.apk2024-10-25 22:11 510K 
[   ]cdist-7.0.0-r6.apk2024-10-25 22:11 511K 
[   ]ovn-doc-24.03.1-r0.apk2024-10-25 22:12 512K 
[   ]ocaml-zed-3.1.0-r3.apk2024-10-25 22:12 512K 
[   ]litehtml-static-0.9-r1.apk2025-01-04 05:37 512K 
[   ]plots-0.7.0-r1.apk2024-11-06 10:41 516K 
[   ]py3-apsw-pyc-3.47.2.0-r0.apk2024-12-16 08:26 516K 
[   ]ocaml-otr-dev-0.3.10-r2.apk2024-10-25 22:12 519K 
[   ]libretro-neocd-0_git20220325-r1.apk2024-10-25 22:11 520K 
[   ]apk-tools3-dbg-3.0.0_pre3_git20241029-r0.apk2024-10-30 06:03 520K 
[   ]parse-changelog-0.6.8-r0.apk2024-10-25 22:12 520K 
[   ]ocaml-re-1.11.0-r1.apk2024-10-25 22:12 522K 
[   ]qflipper-1.3.3-r1.apk2024-10-25 22:12 527K 
[   ]faust-static-2.60.3-r2.apk2024-10-25 22:11 528K 
[   ]gstreamermm-1.10.0-r4.apk2024-10-25 22:11 528K 
[   ]httrack-doc-3.49.2-r5.apk2024-10-25 22:11 528K 
[   ]typstyle-0.12.14-r0.apk2025-01-12 14:44 529K 
[   ]ocaml-ocp-index-dev-1.3.6-r0.apk2024-10-25 22:12 529K 
[   ]ocaml-ounit-2.2.7-r3.apk2024-10-25 22:12 530K 
[   ]gnome-latex-lang-3.47.0-r2.apk2024-12-12 20:30 530K 
[   ]ocaml-ocp-indent-1.8.2-r2.apk2024-10-25 22:12 531K 
[   ]moosefs-static-3.0.117-r2.apk2024-10-25 22:11 531K 
[   ]riemann-cli-0.8.0-r2.apk2024-10-25 22:12 532K 
[   ]aspell-es-1.11-r0.apk2024-10-25 22:10 533K 
[   ]xfe-lang-1.46.2-r0.apk2024-10-25 22:13 534K 
[   ]gutenprint-libs-5.3.4-r5.apk2024-10-25 22:11 534K 
[   ]nitro-2.7_beta8-r2.apk2024-10-25 22:11 536K 
[   ]kimchi-3.0.0-r7.apk2024-10-25 22:11 536K 
[   ]mailutils-libs-3.18-r0.apk2025-01-09 06:56 538K 
[   ]mkdocs-bootswatch-1.1-r5.apk2024-10-25 22:11 538K 
[   ]mapserver-dev-8.4.0-r0.apk2025-01-19 18:13 540K 
[   ]ocaml-conduit-dev-6.1.0-r0.apk2024-10-25 22:12 542K 
[   ]barman-pyc-3.12.1-r0.apk2024-12-31 17:20 543K 
[   ]ocaml-xmlm-1.4.0-r2.apk2024-10-25 22:12 544K 
[   ]ocaml-bisect_ppx-dev-2.8.3-r0.apk2024-10-25 22:11 545K 
[   ]bird3-3.0.0-r0.apk2024-12-20 18:10 546K 
[   ]libretro-pcsx-rearmed-0_git20220409-r0.apk2024-10-25 22:11 546K 
[   ]libppl-1.2-r1.apk2024-10-25 22:11 547K 
[   ]ocaml-tsdl-dev-1.0.0-r0.apk2024-10-25 22:12 549K 
[   ]esptool-pyc-4.8.1-r0.apk2024-10-25 22:11 549K 
[   ]py3-ovos-ocp-audio-plugin-0.0.6_alpha2-r3.apk2024-10-25 22:12 550K 
[   ]ocaml-biniou-1.2.1-r5.apk2024-10-25 22:11 552K 
[   ]platformio-core-pyc-6.1.7-r3.apk2024-10-25 22:12 552K 
[   ]ocaml-ipaddr-dev-5.3.1-r2.apk2024-10-25 22:12 556K 
[   ]libmedc-4.1.1-r4.apk2024-10-25 22:11 556K 
[   ]log4cxx-1.1.0-r2.apk2024-12-14 20:46 557K 
[   ]qtmir-0.7.2-r2.apk2024-10-25 22:12 559K 
[   ]libguestfs-doc-1.52.0-r1.apk2024-10-25 22:11 560K 
[   ]py3-cassandra-driver-pyc-3.29.2-r0.apk2024-10-25 22:12 560K 
[   ]gnu-apl-dev-1.9-r0.apk2024-10-25 22:11 560K 
[   ]ocaml-ppx_sexp_conv-0.16.0-r0.apk2024-10-25 22:12 560K 
[   ]ettercap-0.8.3.1-r3.apk2024-10-25 22:11 561K 
[   ]pypy3-dev-7.3.12-r0.apk2024-10-25 22:12 565K 
[   ]turn-rs-3.3.3-r0.apk2025-01-07 07:28 566K 
[   ]bore-0.5.2-r0.apk2024-12-15 20:26 566K 
[   ]rygel-lang-0.44.1-r0.apk2024-12-01 13:58 567K 
[   ]py3-textual-0.87.1-r0.apk2024-12-07 21:23 567K 
[   ]font-tiresias-0_git20200704-r0.apk2024-10-25 22:11 568K 
[   ]ocaml-bitstring-dev-4.1.0-r3.apk2024-10-25 22:11 570K 
[   ]jrsonnet-cli-0.4.2-r1.apk2024-10-25 22:11 571K 
[   ]perl-libintl-perl-doc-1.35-r0.apk2025-01-16 14:35 571K 
[   ]ocaml-asn1-combinators-dev-0.2.6-r2.apk2024-10-25 22:11 572K 
[   ]py3-dbus-fast-2.24.4-r0.apk2024-11-18 19:00 572K 
[   ]ttyper-1.5.0-r0.apk2024-10-25 22:13 573K 
[   ]ocaml-erm_xml-0_git20211229-r2.apk2024-10-25 22:12 574K 
[   ]php81-mbstring-8.1.31-r0.apk2024-11-20 02:22 575K 
[   ]morph-browser-1.1.1-r0.apk2024-10-25 22:11 579K 
[   ]tiny-0.13.0-r0.apk2025-01-01 19:11 580K 
[   ]opentelemetry-cpp-1.11.0-r5.apk2024-11-11 19:20 581K 
[   ]pari-2.17.1-r0.apk2024-12-24 18:12 584K 
[   ]ocaml-down-0.1.0-r3.apk2024-10-25 22:12 584K 
[   ]xonsh-0.19.0-r0.apk2024-12-25 17:20 584K 
[   ]orage-4.18.0-r0.apk2024-10-25 22:12 590K 
[   ]boinc-dev-7.24.3-r0.apk2024-10-25 22:11 590K 
[   ]py3-beartype-pyc-0.19.0-r0.apk2024-10-25 22:12 596K 
[   ]uranium-5.2.2-r3.apk2024-10-25 22:13 596K 
[   ]ruby-yard-0.9.37-r0.apk2024-10-25 22:12 596K 
[   ]ode-0.16.6-r0.apk2025-01-19 12:55 596K 
[   ]gufw-24.04-r3.apk2024-11-19 22:42 596K 
[   ]ocaml-fileutils-dev-0.6.4-r2.apk2024-10-25 22:12 598K 
[   ]ocaml-amqp-client-2.3.0-r0.apk2024-10-25 22:11 598K 
[   ]gamja-1.0.0_beta9-r0.apk2024-10-25 22:11 599K 
[   ]postgresql-pg_graphql-1.5.9-r0.apk2024-12-25 18:35 602K 
[   ]wayfire-plugins-extra-0.8.1-r1.apk2024-10-25 22:13 602K 
[   ]marxan-4.0.7-r1.apk2024-10-25 22:11 602K 
[   ]rpg-cli-1.2.0-r0.apk2024-10-25 22:12 603K 
[   ]mint-x-theme-gtk3-2.1.1-r0.apk2024-10-25 22:11 603K 
[   ]ocaml-topkg-1.0.5-r2.apk2024-10-25 22:12 604K 
[   ]py3-aiosmb-0.4.11-r0.apk2024-10-25 22:12 605K 
[   ]projectm-dev-3.1.12-r2.apk2024-10-25 22:12 605K 
[   ]ocaml-uutf-1.0.3-r2.apk2024-10-25 22:12 605K 
[   ]ppl-dev-1.2-r1.apk2024-10-25 22:12 607K 
[   ]ocaml-gen-dev-1.1-r1.apk2024-10-25 22:12 608K 
[   ]ocaml-notty-dev-0.2.3-r0.apk2024-10-25 22:12 610K 
[   ]ocaml-menhir-doc-20220210-r3.apk2024-12-08 17:20 610K 
[   ]libretro-snes9x-0_git20240819-r0.apk2024-10-25 22:11 613K 
[   ]greetd-wlgreet-0.5.0-r0.apk2024-10-25 22:11 614K 
[   ]libretro-daphne-0_git20210108-r2.apk2024-10-25 22:11 617K 
[   ]mdbook-katex-0.8.1-r0.apk2024-10-25 22:11 618K 
[   ]postgresql-pg_later-0.0.14-r1.apk2024-10-25 22:12 622K 
[   ]font-chivo-mono-0_git20221110-r0.apk2024-10-25 22:11 626K 
[   ]jaq-2.0.1-r0.apk2024-12-14 21:00 626K 
[   ]nsh-0.4.2-r1.apk2024-10-25 22:11 627K 
[   ]ocaml-extlib-1.7.9-r2.apk2024-10-25 22:12 629K 
[   ]eva-0.3.1-r2.apk2024-10-25 22:11 629K 
[   ]opendht-libs-3.1.7-r5.apk2024-12-14 20:46 635K 
[   ]lomiri-download-manager-0.1.3-r3.apk2024-10-25 22:11 635K 
[   ]gutenprint-samples-5.3.4-r5.apk2024-10-25 22:11 638K 
[   ]ocaml-ocp-indent-dev-1.8.2-r2.apk2024-10-25 22:12 638K 
[   ]belle-sip-5.3.83-r0.apk2024-10-25 22:10 639K 
[   ]felix-2.15.0-r0.apk2025-01-03 15:34 642K 
[   ]mkdocs-gitbook-0.0.1-r5.apk2024-10-25 22:11 644K 
[   ]java-gdcm-3.0.24-r0.apk2024-10-25 22:11 644K 
[   ]create-tauri-app-4.5.9-r0.apk2024-12-25 23:08 649K 
[   ]bonzomatic-20230615-r0.apk2024-10-25 22:11 649K 
[   ]bobcat-dev-4.09.00-r0.apk2024-10-25 22:11 651K 
[   ]mkdocs-cluster-0.0.9-r5.apk2024-10-25 22:11 651K 
[   ]font-material-icons-4.0.0-r0.apk2024-10-25 22:11 652K 
[   ]mint-y-theme-gtk2-2.1.1-r0.apk2024-10-25 22:11 656K 
[   ]ocaml-qcheck-0.18.1-r3.apk2024-10-25 22:12 657K 
[   ]lomiri-indicator-network-1.1.0-r0.apk2025-01-10 11:15 659K 
[   ]kalker-2.2.1-r0.apk2024-10-25 22:11 660K 
[   ]nicotine-plus-lang-3.3.7-r0.apk2024-12-16 15:21 661K 
[   ]ocaml-higlo-dev-0.9-r0.apk2024-10-25 22:12 663K 
[   ]ocaml-cohttp-5.3.1-r0.apk2024-10-25 22:12 664K 
[   ]lumins-0.4.0-r2.apk2024-10-25 22:11 667K 
[   ]perl-gtk2-doc-1.24993-r6.apk2024-10-25 22:12 670K 
[   ]paperde-0.2.1-r2.apk2024-10-25 22:12 672K 
[   ]py3-aioxmpp-pyc-0.13.3-r3.apk2024-10-25 22:12 673K 
[   ]firehol-doc-3.1.7-r2.apk2024-10-25 22:11 675K 
[   ]py3-litex-hub-pythondata-cpu-serv-2024.04-r0.apk2024-10-25 22:12 675K 
[   ]yaru-sounds-23.10.0-r1.apk2024-12-01 03:29 676K 
[   ]font-monocraft-4.0-r0.apk2024-10-25 22:11 677K 
[   ]pitivi-lang-2023.03-r2.apk2024-12-22 23:04 678K 
[   ]dbus-waiter-0.2.0-r0.apk2024-10-25 22:11 680K 
[   ]py3-cvxpy-1.2.1-r5.apk2024-10-25 22:12 680K 
[   ]sudo-ldap-1.9.14-r1.apk2024-10-25 22:12 680K 
[   ]libretro-bluemsx-0_git20240808-r0.apk2024-10-25 22:11 685K 
[   ]kondo-0.8-r0.apk2024-10-25 22:11 686K 
[   ]openvpn3-dev-3.8.5-r0.apk2024-10-25 22:12 686K 
[   ]py3-gdcm-3.0.24-r0.apk2024-10-25 22:12 690K 
[   ]smile-2.9.5-r0.apk2024-10-25 22:12 694K 
[   ]apache2-mod-perl-2.0.13-r1.apk2024-10-25 22:10 698K 
[   ]ocaml-cstruct-dev-6.1.0-r3.apk2024-10-25 22:12 700K 
[   ]pitivi-pyc-2023.03-r2.apk2024-12-22 23:04 700K 
[   ]freecad-dev-1.0.0-r1.apk2024-12-18 13:06 707K 
[   ]pacparser-1.4.5-r1.apk2024-10-25 22:12 707K 
[   ]pypykatz-pyc-0.6.10-r0.apk2024-10-25 22:12 708K 
[   ]py3-telegram-bot-pyc-21.7-r0.apk2024-12-01 18:08 711K 
[   ]castor-0.9.0-r2.apk2024-10-25 22:11 711K 
[   ]py3-litex-hub-pythondata-cpu-vexriscv-2024.04-r0.apk2024-10-25 22:12 713K 
[   ]nginx-ultimate-bad-bot-blocker-4.2023.10.4046-r1.apk2024-10-25 22:11 713K 
[   ]getting-things-gnome-0.6-r4.apk2024-12-08 22:39 715K 
[   ]udpt-3.1.2-r0.apk2024-10-25 22:13 716K 
[   ]libretro-genesis-plus-gx-0_git20230503-r0.apk2024-10-25 22:11 717K 
[   ]simp1e-cursors-solarized-0_git20211003-r0.apk2024-10-25 22:12 717K 
[   ]rpi-imager-1.9.0-r0.apk2024-10-25 22:12 718K 
[   ]qtile-pyc-0.23.0-r2.apk2024-10-25 22:12 724K 
[   ]ocaml-gettext-dev-0.4.2-r3.apk2024-10-25 22:12 725K 
[   ]yaru-theme-mate-23.10.0-r1.apk2024-12-01 03:29 727K 
[   ]py3-slixmpp-pyc-1.8.5-r2.apk2024-10-25 22:12 729K 
[   ]tsung-1.8.0-r2.apk2024-10-25 22:13 730K 
[   ]bobcat-4.09.00-r0.apk2024-10-25 22:11 730K 
[   ]hunspell-ca-es-3.0.7-r0.apk2024-10-25 22:11 731K 
[   ]komikku-pyc-1.67.0-r0.apk2025-01-12 14:12 732K 
[   ]ecasound-2.9.3-r3.apk2024-10-25 22:11 733K 
[   ]agate-3.3.8-r0.apk2024-10-25 22:10 734K 
[   ]yaru-shell-23.10.0-r1.apk2024-12-01 03:29 735K 
[   ]mdbook-alerts-0.7.0-r0.apk2025-01-11 13:08 741K 
[   ]simp1e-cursors-0_git20211003-r0.apk2024-10-25 22:12 741K 
[   ]mpdris2-rs-0.2.3-r0.apk2024-10-25 22:11 745K 
[   ]zsh-histdb-skim-0.8.6-r0.apk2024-10-25 22:13 746K 
[   ]dune-deps-1.3.0-r2.apk2024-10-25 22:11 747K 
[   ]prjtrellis-db-machxo3d-0_git20230929-r0.apk2024-10-25 22:12 748K 
[   ]httrack-3.49.2-r5.apk2024-10-25 22:11 749K 
[   ]responder-3.1.5.0-r0.apk2024-10-25 22:12 750K 
[   ]freshrss-doc-1.23.1-r1.apk2024-10-25 22:11 751K 
[   ]transito-doc-0.9.1-r1.apk2025-01-19 12:55 755K 
[   ]azure-iot-sdk-c-static-1.11.0-r0.apk2024-10-25 22:10 756K 
[   ]yaru-theme-purple-23.10.0-r1.apk2024-12-01 03:29 756K 
[   ]libsemigroups-2.7.3-r1.apk2024-12-14 20:46 757K 
[   ]ocaml-iri-dev-1.0.0-r0.apk2024-10-25 22:12 758K 
[   ]rtw89-src-7_p20230725-r0.apk2024-10-25 22:12 759K 
[   ]yaru-theme-olive-23.10.0-r1.apk2024-12-01 03:29 759K 
[   ]yaru-theme-viridian-23.10.0-r1.apk2024-12-01 03:29 760K 
[   ]yaru-theme-prussiangreen-23.10.0-r1.apk2024-12-01 03:29 760K 
[   ]yaru-theme-red-23.10.0-r1.apk2024-12-01 03:29 760K 
[   ]maxima-doc-5.47.0-r8.apk2024-10-25 22:11 761K 
[   ]yaru-theme-magenta-23.10.0-r1.apk2024-12-01 03:29 761K 
[   ]yaru-theme-sage-23.10.0-r1.apk2024-12-01 03:29 762K 
[   ]ocaml-utop-dev-2.9.1-r4.apk2024-10-25 22:12 763K 
[   ]yaru-theme-bark-23.10.0-r1.apk2024-12-01 03:29 763K 
[   ]yaru-theme-blue-23.10.0-r1.apk2024-12-01 03:29 765K 
[   ]py3-livestream-2.1.0-r0.apk2024-11-25 23:22 766K 
[   ]featherpad-1.5.1-r0.apk2024-10-25 22:11 767K 
[   ]dnssec-tools-2.2.3-r12.apk2024-10-25 22:11 767K 
[   ]ocaml-erm_xml-dev-0_git20211229-r2.apk2024-10-25 22:12 770K 
[   ]git-cola-pyc-4.10.1-r0.apk2024-12-15 20:26 770K 
[   ]faust-dev-2.60.3-r2.apk2024-10-25 22:11 771K 
[   ]ocaml-xtmpl-dev-0.19.0-r0.apk2024-10-25 22:12 772K 
[   ]ocaml-otoml-dev-1.0.5-r0.apk2024-10-25 22:12 775K 
[   ]simp1e-cursors-dark-0_git20211003-r0.apk2024-10-25 22:12 780K 
[   ]nicotine-plus-pyc-3.3.7-r0.apk2024-12-16 15:21 781K 
[   ]nextpnr-generic-0.7-r0.apk2024-10-25 22:11 781K 
[   ]e16-1.0.30-r0.apk2024-11-05 14:22 782K 
[   ]critcl-doc-3.3.1-r0.apk2024-10-25 22:11 787K 
[   ]mkdocs-bootstrap386-0.0.2-r5.apk2024-10-25 22:11 791K 
[   ]twiggy-0.6.0-r3.apk2024-10-25 22:13 792K 
[   ]font-chivo-0_git20221110-r0.apk2024-10-25 22:11 792K 
[   ]otrs-doc-6.0.48-r2.apk2024-10-25 22:12 795K 
[   ]video-trimmer-0.9.0-r0.apk2024-10-25 22:13 796K 
[   ]gutenprint-static-5.3.4-r5.apk2024-10-25 22:11 799K 
[   ]kmscon-9.0.0-r0.apk2024-10-25 22:11 800K 
[   ]php81-pecl-xhprof-assets-2.3.10-r0.apk2024-10-25 22:12 801K 
[   ]rygel-0.44.1-r0.apk2024-12-01 13:58 801K 
[   ]py3-python-stdnum-1.20-r0.apk2024-10-25 22:12 806K 
[   ]nmap-parse-output-doc-1.5.1-r0.apk2024-10-25 22:11 807K 
[   ]sdl3-3.1.6-r0.apk2024-11-02 21:30 810K 
[   ]pyradio-pyc-0.9.3.11-r0.apk2024-10-25 22:12 810K 
[   ]emacs-helm-3.9.7_git20240329-r0.apk2024-10-25 22:11 815K 
[   ]yeti-1.1-r0.apk2024-10-25 22:13 816K 
[   ]gutenprint-5.3.4-r5.apk2024-10-25 22:11 817K 
[   ]ocaml-x509-0.16.0-r2.apk2024-10-25 22:12 818K 
[   ]py3-apsw-3.47.2.0-r0.apk2024-12-16 08:26 820K 
[   ]ocaml-sexplib-dev-0.16.0-r0.apk2024-10-25 22:12 820K 
[   ]ocaml-alcotest-dev-1.5.0-r4.apk2024-10-25 22:11 821K 
[   ]php81-pecl-mongodb-1.20.1-r0.apk2024-11-27 17:47 821K 
[   ]weggli-0.2.4-r1.apk2024-10-25 22:13 824K 
[   ]planner-lang-0.14.92-r1.apk2024-12-08 22:39 825K 
[   ]cimg-3.4.1-r0.apk2024-10-25 22:11 826K 
[   ]lomiri-system-settings-lang-1.3.0-r0.apk2025-01-10 11:15 826K 
[   ]libretro-parallel-n64-0_git20220406-r0.apk2024-10-25 22:11 827K 
[   ]geonames-0.3.1-r2.apk2024-10-25 22:11 827K 
[   ]py3-beartype-0.19.0-r0.apk2024-10-25 22:12 827K 
[   ]simp1e-cursors-snow-0_git20211003-r0.apk2024-10-25 22:12 828K 
[   ]dlib-19.24.4-r0.apk2024-10-25 22:11 830K 
[   ]rdedup-3.2.1-r5.apk2024-10-25 22:12 831K 
[   ]yices2-libs-2.6.4-r0.apk2024-10-25 22:13 833K 
[   ]font-fira-code-6.2-r0.apk2024-10-25 22:11 836K 
[   ]tk9-9.0.1-r0.apk2024-12-22 07:22 837K 
[   ]jwt-cli-6.2.0-r0.apk2024-12-14 19:18 838K 
[   ]yaru-theme-23.10.0-r1.apk2024-12-01 03:29 840K 
[   ]cherrytree-lang-1.2.0-r3.apk2024-12-18 13:06 846K 
[   ]buildcache-0.28.9-r0.apk2024-10-25 22:11 847K 
[   ]ocaml-ctypes-dev-0.20.1-r2.apk2024-10-25 22:12 847K 
[   ]powder-toy-97.0.352-r1.apk2024-10-25 22:12 848K 
[   ]font-katex-0.16.2-r0.apk2024-10-25 22:11 852K 
[   ]plib-1.8.5-r3.apk2024-10-25 22:12 853K 
[   ]gufw-lang-24.04-r3.apk2024-11-19 22:42 855K 
[   ]grass-0.13.4-r0.apk2024-10-25 22:11 856K 
[   ]simp1e-cursors-breeze-0_git20211003-r0.apk2024-10-25 22:12 857K 
[   ]git-cola-4.10.1-r0.apk2024-12-15 20:26 860K 
[   ]hurlfmt-6.0.0-r0.apk2024-12-07 21:18 861K 
[   ]wlroots0.12-dbg-0.12.0-r1.apk2024-10-25 22:13 862K 
[   ]mml-1.0.0-r0.apk2024-10-25 22:11 864K 
[   ]cddlib-doc-0.94m-r2.apk2024-10-25 22:11 864K 
[   ]qucs-s-lang-1.1.0-r1.apk2024-10-25 22:12 865K 
[   ]ocaml-ctypes-0.20.1-r2.apk2024-10-25 22:12 867K 
[   ]libretro-theodore-3.1-r0.apk2024-10-25 22:11 869K 
[   ]py3-pyglet-2.1.0-r0.apk2025-01-12 10:01 870K 
[   ]pyradio-0.9.3.11-r0.apk2024-10-25 22:12 871K 
[   ]ocaml-lablgtk3-extras-3.0.1-r2.apk2024-10-25 22:12 871K 
[   ]sc-controller-pyc-0.4.8.13-r1.apk2024-10-25 22:12 873K 
[   ]silc-client-1.1.11-r17.apk2024-10-25 22:12 875K 
[   ]git-graph-0.6.0-r0.apk2024-11-26 00:38 876K 
[   ]keepassxc-browser-1.8.9-r0.apk2024-10-25 22:11 876K 
[   ]boinc-lang-7.24.3-r0.apk2024-10-25 22:11 877K 
[   ]gloox-dev-1.0.28-r0.apk2024-10-25 22:11 878K 
[   ]APKINDEX.tar.gz2025-01-20 21:43 881K 
[   ]leptosfmt-0.1.18-r0.apk2024-10-25 22:11 881K 
[   ]soqt-doc-1.6.3-r0.apk2024-12-13 21:44 881K 
[   ]rezolus-2.11.1-r3.apk2024-10-25 22:12 883K 
[   ]lumina-desktop-coreutils-1.6.2-r0.apk2024-10-25 22:11 885K 
[   ]kannel-dev-1.5.0-r11.apk2024-10-25 22:11 888K 
[   ]py3-mbedtls-2.10.1-r2.apk2024-10-25 22:12 889K 
[   ]eiwd-2.22-r0.apk2024-10-25 22:11 890K 
[   ]ocaml-menhir-dev-20220210-r3.apk2024-12-08 17:20 891K 
[   ]exabgp-pyc-4.2.22-r0.apk2024-11-30 11:48 892K 
[   ]draco-1.5.7-r1.apk2024-10-25 22:11 893K 
[   ]hstdb-2.1.0-r2.apk2024-10-25 22:11 894K 
[   ]tealdeer-1.7.1-r0.apk2024-12-14 19:14 896K 
[   ]azpainter-3.0.9-r0.apk2024-10-25 22:10 897K 
[   ]ndpi-dev-4.10-r0.apk2024-10-25 22:11 898K 
[   ]libmdbx-0.11.8-r0.apk2024-10-25 22:11 899K 
[   ]lomiri-content-hub-doc-2.0.0-r0.apk2024-10-25 22:11 901K 
[   ]libretro-fuse-0_git20220417-r0.apk2024-10-25 22:11 903K 
[   ]ocaml-tsdl-1.0.0-r0.apk2024-10-25 22:12 903K 
[   ]nixpacks-0.1.7-r1.apk2024-10-25 22:11 904K 
[   ]timeshift-lang-24.06.5-r0.apk2024-12-05 21:47 917K 
[   ]mdbook-plantuml-0.8.0-r0.apk2024-10-25 22:11 918K 
[   ]ttdl-4.7.0-r0.apk2024-11-26 20:05 919K 
[   ]cbqn-0.8.0-r0.apk2025-01-07 19:00 920K 
[   ]homebank-lang-5.8.6-r0.apk2025-01-06 00:13 920K 
[   ]rust-script-0.35.0-r0.apk2024-10-27 17:29 920K 
[   ]swi-prolog-xpce-9.2.9-r0.apk2024-12-21 11:10 922K 
[   ]spacer-0.3.0-r0.apk2024-12-24 23:52 922K 
[   ]volatility3-pyc-2.8.0-r0.apk2024-12-05 18:17 923K 
[   ]pari-doc-2.17.1-r0.apk2024-12-24 18:12 924K 
[   ]repowerd-2023.07-r2.apk2024-10-25 22:12 924K 
[   ]libabigail-2.3-r0.apk2024-10-25 22:11 925K 
[   ]halp-0.2.0-r0.apk2024-10-25 22:11 925K 
[   ]ocaml-parsexp-dev-0.16.0-r0.apk2024-10-25 22:12 927K 
[   ]perl-gtk2-1.24993-r6.apk2024-10-25 22:12 934K 
[   ]py3-litex-hub-pythondata-cpu-cv32e41p-2024.04-r0.apk2024-10-25 22:12 934K 
[   ]py3-cvxpy-pyc-1.2.1-r5.apk2024-10-25 22:12 936K 
[   ]serie-0.4.1-r0.apk2025-01-14 17:36 937K 
[   ]rosenpass-0.2.2-r0.apk2024-10-25 22:12 938K 
[   ]php81-dev-8.1.31-r0.apk2024-11-20 02:22 939K 
[   ]mkdocs-windmill-1.0.5-r4.apk2024-10-25 22:11 944K 
[   ]bochs-2.8-r0.apk2024-10-25 22:11 945K 
[   ]flann-dev-1.9.2-r0.apk2024-10-25 22:11 946K 
[   ]hikari-2.3.3-r6.apk2024-10-25 22:11 947K 
[   ]cliphist-0.6.1-r1.apk2025-01-19 12:55 947K 
[   ]libantlr4-dev-4.13.2-r0.apk2024-12-12 12:20 951K 
[   ]advancemame-menu-3.9-r4.apk2024-10-25 22:10 953K 
[   ]cluster-glue-dev-1.0.12-r5.apk2024-10-25 22:11 957K 
[   ]dotenv-linter-3.3.0-r1.apk2024-10-25 22:11 961K 
[   ]fulcrum-1.9.8-r1.apk2024-10-25 22:11 967K 
[   ]ddnrs-0.3.0-r0.apk2024-10-25 22:11 967K 
[   ]yazi-cli-0.4.2-r0.apk2024-12-20 17:10 969K 
[   ]yarn-berry-4.6.0-r0.apk2025-01-07 08:03 970K 
[   ]ocaml-re-dev-1.11.0-r1.apk2024-10-25 22:12 970K 
[   ]xed-doc-3.8.1-r0.apk2024-12-24 07:13 971K 
[   ]postgresql-pg_partman-5.0.0-r0.apk2024-10-25 22:12 971K 
[   ]laze-0.1.27-r0.apk2024-12-09 17:24 1.0M 
[   ]stardict-3.0.6-r6.apk2024-10-25 22:12 1.0M 
[   ]aws-lc-1.42.0-r1.apk2025-01-19 12:55 1.0M 
[   ]xendmail-0.4.3-r0.apk2024-10-25 22:13 1.0M 
[   ]qsstv-9.5.8-r2.apk2024-10-25 22:12 1.0M 
[   ]ol-2.4-r0.apk2024-10-25 22:12 1.0M 
[   ]sshsrv-1.0-r8.apk2025-01-19 12:55 1.0M 
[   ]ocaml-markup-1.0.3-r3.apk2024-10-25 22:12 1.0M 
[   ]font-comic-neue-doc-2.51-r0.apk2024-10-25 22:11 1.0M 
[   ]please-0.5.5-r0.apk2024-10-25 22:12 1.0M 
[   ]hwatch-0.3.11-r0.apk2024-10-25 22:11 1.0M 
[   ]pulseview-0.4.2-r8.apk2024-10-25 22:12 1.0M 
[   ]draw-0.1.1-r9.apk2025-01-19 12:55 1.0M 
[   ]wroomd-0.1.0-r0.apk2024-10-25 22:13 1.0M 
[   ]imgdiff-1.0.2-r22.apk2025-01-19 12:55 1.0M 
[   ]synapse-bt-cli-1.0-r4.apk2024-10-25 22:12 1.0M 
[   ]prjtrellis-db-machxo2-0_git20230929-r0.apk2024-10-25 22:12 1.0M 
[   ]milkytracker-1.04.00-r2.apk2024-10-25 22:11 1.0M 
[   ]ocaml-lwd-dev-0.3-r0.apk2024-10-25 22:12 1.0M 
[   ]barrier-2.4.0-r1.apk2024-10-25 22:10 1.0M 
[   ]grpc-health-check-0.1.1-r3.apk2024-10-25 22:11 1.0M 
[   ]komikku-1.67.0-r0.apk2025-01-12 14:12 1.0M 
[   ]tere-1.6.0-r0.apk2024-10-25 22:12 1.0M 
[   ]elementary-photos-lang-8.0.1-r0.apk2024-12-02 23:59 1.0M 
[   ]lomiri-trust-store-2.0.2-r6.apk2024-10-25 22:11 1.0M 
[   ]lizardfs-master-3.13.0-r14.apk2024-12-03 15:32 1.0M 
[   ]ocaml-amqp-client-dev-2.3.0-r0.apk2024-10-25 22:11 1.0M 
[   ]ocaml-yojson-2.1.2-r0.apk2024-10-25 22:12 1.0M 
[   ]mdbook-admonish-1.18.0-r0.apk2024-12-27 10:08 1.0M 
[   ]xonsh-pyc-0.19.0-r0.apk2024-12-25 17:20 1.0M 
[   ]snapper-0.12.0-r0.apk2024-11-18 19:32 1.0M 
[   ]horust-0.1.7-r2.apk2024-10-25 22:11 1.0M 
[   ]qpdfview-0.5-r1.apk2024-10-25 22:12 1.0M 
[   ]boinc-gui-7.24.3-r0.apk2024-10-25 22:11 1.0M 
[   ]tangara-companion-0.4.3-r0.apk2024-12-17 21:16 1.0M 
[   ]ocaml-obuild-0.1.11-r0.apk2024-10-25 22:12 1.0M 
[   ]swi-prolog-xpce-doc-9.2.9-r0.apk2024-12-21 11:10 1.0M 
[   ]ocaml-ounit-dev-2.2.7-r3.apk2024-10-25 22:12 1.0M 
[   ]gearman-dev-1.1.21-r1.apk2024-10-25 22:11 1.0M 
[   ]yaru-icon-theme-bark-23.10.0-r1.apk2024-12-01 03:29 1.0M 
[   ]kbs2-0.7.2-r3.apk2024-10-25 22:11 1.0M 
[   ]ocaml-uucp-dev-14.0.0-r2.apk2024-10-25 22:12 1.0M 
[   ]ocp-indent-1.8.2-r2.apk2024-10-25 22:12 1.0M 
[   ]quodlibet-4.6.0-r1.apk2024-10-25 22:12 1.0M 
[   ]supersonik-0.1.0-r1.apk2024-12-22 19:35 1.1M 
[   ]yaru-icon-theme-olive-23.10.0-r1.apk2024-12-01 03:29 1.1M 
[   ]ocaml-uunf-14.0.0-r2.apk2024-10-25 22:12 1.1M 
[   ]duf-0.8.1-r22.apk2025-01-19 12:55 1.1M 
[   ]atools-go-0.2.1-r2.apk2025-01-19 12:55 1.1M 
[   ]sturmreader-3.7.2-r0.apk2024-10-25 22:12 1.1M 
[   ]yaru-icon-theme-magenta-23.10.0-r1.apk2024-12-01 03:29 1.1M 
[   ]swhkd-1.2.1-r0.apk2024-10-25 22:12 1.1M 
[   ]numbat-1.9.0-r0.apk2024-10-25 22:11 1.1M 
[   ]lomiri-telephony-service-0.5.3-r5.apk2024-12-07 13:41 1.1M 
[   ]yaru-icon-theme-viridian-23.10.0-r1.apk2024-12-01 03:29 1.1M 
[   ]brial-1.2.11-r4.apk2024-10-25 22:11 1.1M 
[   ]pest-language-server-0.3.9-r0.apk2024-10-25 22:12 1.1M 
[   ]prjtrellis-db-machxo3-0_git20230929-r0.apk2024-10-25 22:12 1.1M 
[   ]yaru-icon-theme-prussiangreen-23.10.0-r1.apk2024-12-01 03:29 1.1M 
[   ]libmysofa-tools-1.3.2-r0.apk2024-10-25 22:11 1.1M 
[   ]tartube-pyc-2.5.0-r1.apk2024-10-25 22:12 1.1M 
[   ]cln-dev-1.3.7-r0.apk2024-10-25 22:11 1.1M 
[   ]fava-1.28-r0.apk2024-10-25 22:11 1.1M 
[   ]synapse-bt-1.0-r4.apk2024-10-25 22:12 1.1M 
[   ]sing-geosite-20241221105938-r0.apk2024-12-23 05:08 1.1M 
[   ]limnoria-20240828-r0.apk2024-10-25 22:11 1.1M 
[   ]yaru-icon-theme-blue-23.10.0-r1.apk2024-12-01 03:29 1.1M 
[   ]ecasound-dev-2.9.3-r3.apk2024-10-25 22:11 1.1M 
[   ]py3-aiosmb-pyc-0.4.11-r0.apk2024-10-25 22:12 1.1M 
[   ]xed-3.8.1-r0.apk2024-12-24 07:13 1.1M 
[   ]lomiri-system-settings-1.3.0-r0.apk2025-01-10 11:15 1.1M 
[   ]crun-vm-0.3.0-r0.apk2024-11-12 12:35 1.1M 
[   ]fxfloorboard-katana-mk2-doc-20240515-r1.apk2024-10-25 22:11 1.1M 
[   ]watchbind-0.2.1-r1.apk2024-10-25 22:13 1.1M 
[   ]yaru-icon-theme-sage-23.10.0-r1.apk2024-12-01 03:29 1.1M 
[   ]lazymc-0.2.11-r0.apk2024-10-25 22:11 1.1M 
[   ]spike-1.1.0-r0.apk2024-10-25 22:12 1.1M 
[   ]megazeux-2.93b-r0.apk2024-10-25 22:11 1.1M 
[   ]lsd-1.1.5-r0.apk2024-10-25 22:11 1.1M 
[   ]py3-textual-pyc-0.87.1-r0.apk2024-12-07 21:23 1.1M 
[   ]yaru-icon-theme-purple-23.10.0-r1.apk2024-12-01 03:29 1.1M 
[   ]fox-1.6.57-r0.apk2024-10-25 22:11 1.1M 
[   ]cutechess-1.3.1-r0.apk2024-10-25 22:11 1.1M 
[   ]gosu-1.17-r6.apk2025-01-19 12:55 1.1M 
[   ]wlroots0.15-dbg-0.15.1-r6.apk2024-10-25 22:13 1.1M 
[   ]py3-litex-hub-modules-pyc-2024.04-r0.apk2024-10-25 22:12 1.1M 
[   ]yaru-icon-theme-red-23.10.0-r1.apk2024-12-01 03:29 1.1M 
[   ]py3-pyglm-2.7.3-r0.apk2024-11-06 10:41 1.1M 
[   ]cargo-chef-0.1.70-r0.apk2025-01-16 00:34 1.1M 
[   ]rime-ls-0.4.1-r0.apk2024-12-25 16:41 1.1M 
[   ]dewduct-0.2.3-r0.apk2024-10-25 22:11 1.1M 
[   ]ouch-0.5.1-r0.apk2024-10-25 22:12 1.1M 
[   ]mypaint-pyc-2.0.1-r1.apk2024-10-25 22:11 1.1M 
[   ]filite-0.3.0-r2.apk2024-10-25 22:11 1.1M 
[   ]qflipper-gui-1.3.3-r1.apk2024-10-25 22:12 1.1M 
[   ]ocaml-zed-dev-3.1.0-r3.apk2024-10-25 22:12 1.1M 
[   ]ocaml-ppx_sexp_conv-dev-0.16.0-r0.apk2024-10-25 22:12 1.1M 
[   ]circuslinux-data-1.0.3-r1.apk2024-10-25 22:11 1.1M 
[   ]cargo-update-16.0.0-r0.apk2024-12-14 19:07 1.1M 
[   ]up-0.4-r25.apk2025-01-19 12:55 1.1M 
[   ]tailspin-3.0.0-r0.apk2024-10-25 22:12 1.1M 
[   ]poke-4.2-r0.apk2024-10-25 22:12 1.2M 
[   ]orage-lang-4.18.0-r0.apk2024-10-25 22:12 1.2M 
[   ]wireguard-go-0.0.20230223-r8.apk2025-01-19 12:55 1.2M 
[   ]py3-sphinx-theme-bootstrap-0.8.1-r4.apk2024-10-25 22:12 1.2M 
[   ]sqlmap-pyc-1.9-r0.apk2025-01-13 23:49 1.2M 
[   ]greetd-regreet-0.2.0-r0.apk2025-01-07 19:21 1.2M 
[   ]elementary-photos-8.0.1-r0.apk2024-12-02 23:59 1.2M 
[   ]quodlibet-lang-4.6.0-r1.apk2024-10-25 22:12 1.2M 
[   ]wlroots0.16-dbg-0.16.2-r0.apk2024-10-28 14:47 1.2M 
[   ]ginac-1.8.7-r1.apk2024-10-25 22:11 1.2M 
[   ]speedcrunch-0.12-r3.apk2024-10-25 22:12 1.2M 
[   ]go-mtpfs-1.0.0-r23.apk2025-01-19 12:55 1.2M 
[   ]repgrep-0.15.0-r0.apk2024-10-25 22:12 1.2M 
[   ]ocaml-tcpip-7.1.2-r3.apk2024-10-25 22:12 1.2M 
[   ]mypaint-lang-2.0.1-r1.apk2024-10-25 22:11 1.2M 
[   ]ocaml-uuseg-tools-14.0.0-r2.apk2024-10-25 22:12 1.2M 
[   ]listenbrainz-mpd-2.3.8-r0.apk2024-10-25 22:11 1.2M 
[   ]frescobaldi-pyc-3.3.0-r1.apk2024-10-25 22:11 1.2M 
[   ]ocaml-lwt-5.7.0-r0.apk2024-10-25 22:12 1.2M 
[   ]ocfs2-tools-1.8.7-r4.apk2024-10-25 22:12 1.2M 
[   ]compiz-lang-0.9.14.2-r7.apk2024-11-22 19:16 1.2M 
[   ]ocaml-menhir-20220210-r3.apk2024-12-08 17:20 1.2M 
[   ]ocaml-tls-0.15.3-r4.apk2024-10-25 22:12 1.2M 
[   ]limnoria-pyc-20240828-r0.apk2024-10-25 22:11 1.2M 
[   ]cargo-machete-0.7.0-r0.apk2024-12-28 19:16 1.2M 
[   ]kismet-logtools-0.202307.1-r4.apk2024-11-11 19:20 1.2M 
[   ]gamescope-3.16.1-r0.apk2025-01-10 13:40 1.2M 
[   ]flawz-0.3.0-r0.apk2024-11-03 22:06 1.2M 
[   ]hctl-0.2.6-r0.apk2024-10-25 22:11 1.2M 
[   ]pegasus-frontend-16_alpha-r0.apk2024-10-25 22:12 1.2M 
[   ]libntl-11.5.1-r4.apk2024-10-25 22:11 1.2M 
[   ]octoprint-pyc-1.10.3-r0.apk2024-12-25 23:04 1.2M 
[   ]swig3-3.0.12-r3.apk2024-10-25 22:12 1.2M 
[   ]fpc-doc-3.2.2-r4.apk2024-10-25 22:11 1.2M 
[   ]yaru-icon-theme-mate-23.10.0-r1.apk2024-12-01 03:29 1.2M 
[   ]ocaml-mirage-crypto-0.10.6-r3.apk2024-10-25 22:12 1.2M 
[   ]baikal-0.10.1-r0.apk2024-11-10 18:10 1.2M 
[   ]libabigail-dev-2.3-r0.apk2024-10-25 22:11 1.3M 
[   ]cargo-flamegraph-0.6.7-r0.apk2025-01-13 12:49 1.3M 
[   ]goawk-1.29.1-r1.apk2025-01-19 12:55 1.3M 
[   ]ocaml-erm_xmpp-0_git20220404-r2.apk2024-10-25 22:12 1.3M 
[   ]beancount-language-server-1.3.6-r0.apk2024-11-06 00:38 1.3M 
[   ]piping-server-0.18.0-r0.apk2024-10-25 22:12 1.3M 
[   ]ocaml-cohttp-dev-5.3.1-r0.apk2024-10-25 22:12 1.3M 
[   ]htslib-tools-1.19-r0.apk2024-10-25 22:11 1.3M 
[   ]draco-tools-1.5.7-r1.apk2024-10-25 22:11 1.3M 
[   ]ocaml-extlib-dev-1.7.9-r2.apk2024-10-25 22:12 1.3M 
[   ]ocaml-ppx_deriving-dev-5.3.0-r0.apk2024-10-25 22:12 1.3M 
[   ]dcmtk-3.6.9-r0.apk2025-01-19 18:13 1.3M 
[   ]ckb-next-0.6.0-r1.apk2024-10-25 22:11 1.3M 
[   ]prjtrellis-1.4-r2.apk2024-10-25 22:12 1.3M 
[   ]ocaml-camomile-1.0.2-r3.apk2024-10-25 22:11 1.3M 
[   ]ktx-4.3.2-r0.apk2024-10-25 22:11 1.3M 
[   ]rustscan-2.3.0-r0.apk2024-10-25 22:12 1.3M 
[   ]primesieve-dev-12.6-r0.apk2024-12-14 19:14 1.3M 
[   ]libigraph-0.10.15-r0.apk2024-11-15 17:15 1.3M 
[   ]singular-doc-4.4.0-r0.apk2024-10-25 22:12 1.3M 
[   ]emulationstation-2.11.2-r1.apk2024-10-25 22:11 1.3M 
[   ]tk9-doc-9.0.1-r0.apk2024-12-22 07:22 1.3M 
[   ]lomiri-ui-toolkit-1.3.5110-r0.apk2025-01-10 11:15 1.3M 
[   ]makeclapman-2.4.4-r1.apk2025-01-19 12:55 1.3M 
[   ]wlroots0.17-dbg-0.17.4-r1.apk2024-11-23 10:00 1.3M 
[   ]mailtutan-0.3.0-r0.apk2024-10-25 22:11 1.3M 
[   ]ocaml-qcheck-dev-0.18.1-r3.apk2024-10-25 22:12 1.3M 
[   ]gnu-apl-1.9-r0.apk2024-10-25 22:11 1.3M 
[   ]ocaml-labltk-dev-8.06.12-r2.apk2024-10-25 22:12 1.3M 
[   ]kgraphviewer-2.5.0-r0.apk2024-10-25 22:11 1.3M 
[   ]lowjs-1.6.2-r2.apk2024-10-25 22:11 1.3M 
[   ]genact-1.4.2-r0.apk2024-10-25 22:11 1.3M 
[   ]youtube-tui-0.8.0-r0.apk2024-10-25 22:13 1.3M 
[   ]sblg-doc-0.5.11-r0.apk2024-10-25 22:12 1.3M 
[   ]walk-1.10.0-r1.apk2025-01-19 12:55 1.3M 
[   ]lout-3.42.2-r0.apk2024-10-25 22:11 1.4M 
[   ]snippets-ls-0.0.4_git20240617-r1.apk2025-01-19 12:55 1.4M 
[   ]mpv-sponsorblock-2.1.0-r0.apk2024-10-25 22:11 1.4M 
[   ]ocaml-uri-4.2.0-r2.apk2024-10-25 22:12 1.4M 
[   ]pulsar-client-cpp-3.1.2-r4.apk2024-10-25 22:12 1.4M 
[   ]xfe-1.46.2-r0.apk2024-10-25 22:13 1.4M 
[   ]ndpi-4.10-r0.apk2024-10-25 22:11 1.4M 
[   ]tcl9-doc-9.0.1-r0.apk2024-12-22 07:22 1.4M 
[   ]gnucash-doc-5.10-r0.apk2024-12-27 12:35 1.4M 
[   ]lizardfs-client-3.13.0-r14.apk2024-12-03 15:32 1.4M 
[   ]mapserver-8.4.0-r0.apk2025-01-19 18:13 1.4M 
[   ]qgis-grass-3.34.15-r0.apk2025-01-19 23:03 1.4M 
[   ]sciteco-2.3.0-r0.apk2024-12-29 09:42 1.4M 
[   ]keystone-0.9.2-r6.apk2024-10-25 22:11 1.4M 
[   ]sydbox-3.21.3-r0.apk2024-10-25 22:12 1.4M 
[   ]ktx-libs-4.3.2-r0.apk2024-10-25 22:11 1.4M 
[   ]dstask-0.26-r10.apk2025-01-19 12:55 1.4M 
[   ]rathole-0.5.0-r0.apk2024-10-25 22:12 1.4M 
[   ]mailutils-mh-3.18-r0.apk2025-01-09 06:56 1.4M 
[   ]gimp-plugin-gmic-3.3.5-r1.apk2024-11-21 23:55 1.4M 
[   ]mame-lang-0.251-r0.apk2024-10-25 22:11 1.4M 
[   ]draco-static-1.5.7-r1.apk2024-10-25 22:11 1.4M 
[   ]ijq-1.1.0-r4.apk2025-01-19 12:55 1.4M 
[   ]hfst-3.16.0-r2.apk2024-10-25 22:11 1.4M 
[   ]asymptote-2.91-r0.apk2024-10-25 22:10 1.4M 
[   ]oil-0.21.0-r0.apk2024-10-25 22:12 1.4M 
[   ]eboard-1.1.3-r1.apk2024-10-25 22:11 1.5M 
[   ]raspberrypi-usbboot-20210701-r3.apk2024-10-25 22:12 1.5M 
[   ]openocd-riscv-0_git20230104-r2.apk2024-10-25 22:12 1.5M 
[   ]chamo-byte-4.0-r0.apk2024-10-25 22:11 1.5M 
[   ]subtitleeditor-0.54.0-r3.apk2024-10-25 22:12 1.5M 
[   ]legume-1.4.2-r5.apk2025-01-19 12:55 1.5M 
[   ]xsane-0.999-r2.apk2024-10-25 22:13 1.5M 
[   ]ocaml-sedlex-dev-3.2-r0.apk2024-10-25 22:12 1.5M 
[   ]nicotine-plus-3.3.7-r0.apk2024-12-16 15:21 1.5M 
[   ]elementary-theme-8.1.0-r0.apk2025-01-12 21:36 1.5M 
[   ]freshrss-themes-1.23.1-r1.apk2024-10-25 22:11 1.5M 
[   ]perl-minion-10.31-r0.apk2024-10-25 22:12 1.5M 
[   ]youki-0.4.1-r0.apk2024-10-25 22:13 1.5M 
[   ]wiki-tui-0.8.2-r1.apk2024-10-25 22:13 1.5M 
[   ]py3-pysequoia-0.1.20-r3.apk2024-10-25 22:12 1.5M 
[   ]nextpnr-gowin-0.7-r0.apk2024-10-25 22:11 1.5M 
[   ]ocaml-lablgtk3-extras-dev-3.0.1-r2.apk2024-10-25 22:12 1.5M 
[   ]ocaml-x509-dev-0.16.0-r2.apk2024-10-25 22:12 1.5M 
[   ]freshrss-1.23.1-r1.apk2024-10-25 22:11 1.5M 
[   ]ocaml-ca-certs-nss-tools-3.89.1-r1.apk2024-10-25 22:11 1.5M 
[   ]runst-0.1.7-r0.apk2024-10-25 22:12 1.5M 
[   ]ocaml-omake-0.10.6-r0.apk2024-10-25 22:12 1.5M 
[   ]ffsend-0.2.76-r4.apk2024-10-25 22:11 1.5M 
[   ]kjv-0_git20221103-r0.apk2024-10-25 22:11 1.5M 
[   ]siril-lang-1.2.5-r1.apk2025-01-16 23:07 1.5M 
[   ]py3-tokenizers-0.21.0-r0.apk2024-11-27 20:54 1.6M 
[   ]gnu-apl-doc-1.9-r0.apk2024-10-25 22:11 1.6M 
[   ]mint-y-theme-gtk4-2.1.1-r0.apk2024-10-25 22:11 1.6M 
[   ]sciteco-gtk-2.3.0-r0.apk2024-12-29 09:42 1.6M 
[   ]mage-1.13.0-r19.apk2025-01-19 12:55 1.6M 
[   ]voikko-fi-2.5-r0.apk2024-10-25 22:13 1.6M 
[   ]openocd-git-0_git20240113-r1.apk2024-10-25 22:12 1.6M 
[   ]zydis-doc-4.1.0-r0.apk2024-10-25 22:13 1.6M 
[   ]py3-pyglet-pyc-2.1.0-r0.apk2025-01-12 10:01 1.6M 
[   ]lutgen-0.11.2-r0.apk2024-10-25 22:11 1.6M 
[   ]libretro-beetle-saturn-0_git20220417-r0.apk2024-10-25 22:11 1.6M 
[   ]scap-workbench-doc-1.2.1-r3.apk2024-10-25 22:12 1.6M 
[   ]ocaml-erm_xmpp-dev-0_git20220404-r2.apk2024-10-25 22:12 1.6M 
[   ]nwg-bar-0.1.6-r6.apk2025-01-19 12:55 1.6M 
[   ]chocolate-doom-3.1.0-r0.apk2024-10-25 22:11 1.6M 
[   ]seed7-doc-05.20240322-r0.apk2024-10-25 22:12 1.6M 
[   ]boinc-7.24.3-r0.apk2024-10-25 22:11 1.6M 
[   ]igrep-1.2.0-r0.apk2024-10-25 22:11 1.6M 
[   ]mdbook-mermaid-0.14.0-r0.apk2024-12-23 18:24 1.6M 
[   ]libsemigroups-static-2.7.3-r1.apk2024-12-14 20:46 1.6M 
[   ]brial-dev-1.2.11-r4.apk2024-10-25 22:11 1.6M 
[   ]wl-gammarelay-0.1.1-r10.apk2025-01-19 12:55 1.6M 
[   ]pomo-0.8.1-r19.apk2025-01-19 12:55 1.6M 
[   ]dcmtk-dev-3.6.9-r0.apk2025-01-19 18:13 1.6M 
[   ]drogon-1.9.4-r1.apk2024-10-25 22:11 1.6M 
[   ]sing-geoip-20250112-r0.apk2025-01-16 00:24 1.6M 
[   ]armagetronad-0.2.9.1.1-r0.apk2024-10-25 22:10 1.6M 
[   ]empede-0.2.3-r0.apk2024-10-25 22:11 1.6M 
[   ]apmpkg-1.5.1-r3.apk2024-10-25 22:10 1.6M 
[   ]ocaml-yojson-dev-2.1.2-r0.apk2024-10-25 22:12 1.6M 
[   ]libarb-2.23.0-r2.apk2024-10-25 22:11 1.6M 
[   ]libppl_c-1.2-r1.apk2024-10-25 22:11 1.7M 
[   ]yamlfmt-0.15.0-r1.apk2025-01-19 12:55 1.7M 
[   ]watershot-0.2.0-r0.apk2024-10-25 22:13 1.7M 
[   ]antimicrox-3.4.0-r0.apk2024-10-25 22:10 1.7M 
[   ]mkcert-1.4.4-r15.apk2025-01-19 12:55 1.7M 
[   ]openocd-esp32-0_git20230921-r5.apk2024-10-25 22:12 1.7M 
[   ]fheroes2-lang-1.1.5-r0.apk2025-01-03 15:34 1.7M 
[   ]noggin-model-lightweight-0.1-r0.apk2024-10-25 22:11 1.7M 
[   ]pipeline-2.1.0-r0.apk2024-12-07 04:50 1.7M 
[   ]keystone-python-0.9.2-r6.apk2024-10-25 22:11 1.7M 
[   ]pcem-17-r2.apk2024-10-25 22:12 1.7M 
[   ]nil-2023.08.09-r0.apk2024-10-25 22:11 1.7M 
[   ]cpu-x-5.0.4-r1.apk2024-11-16 16:11 1.7M 
[   ]libntl-static-11.5.1-r4.apk2024-10-25 22:11 1.7M 
[   ]neocmakelsp-0.8.14-r0.apk2025-01-12 14:22 1.7M 
[   ]chim-1.1.2-r1.apk2024-10-25 22:11 1.7M 
[   ]materia-kde-plasma-20220823-r0.apk2024-10-25 22:11 1.7M 
[   ]nwg-dock-0.3.9-r7.apk2025-01-19 12:55 1.7M 
[   ]fplll-strategies-5.5.0-r0.apk2024-11-18 19:00 1.7M 
[   ]fheroes2-1.1.5-r0.apk2025-01-03 15:34 1.7M 
[   ]flann-1.9.2-r0.apk2024-10-25 22:11 1.7M 
[   ]fox-dev-1.6.57-r0.apk2024-10-25 22:11 1.7M 
[   ]srb2-2.2.13-r0.apk2024-10-25 22:12 1.7M 
[   ]aqemu-0.9.4-r3.apk2024-10-25 22:10 1.7M 
[   ]gmic-qt-3.3.5-r1.apk2024-11-21 23:55 1.7M 
[   ]qgis-server-3.34.15-r0.apk2025-01-19 23:03 1.7M 
[   ]edward-1.1.0-r0.apk2024-10-25 22:11 1.8M 
[   ]crispy-doom-7.0-r0.apk2024-10-25 22:11 1.8M 
[   ]mir-2.15.0-r4.apk2024-10-25 22:11 1.8M 
[   ]daktilo-0.6.0-r0.apk2024-10-25 22:11 1.8M 
[   ]percona-toolkit-3.5.4-r1.apk2024-10-25 22:12 1.8M 
[   ]libmedc-python-4.1.1-r4.apk2024-10-25 22:11 1.8M 
[   ]goxel-0.15.1-r0.apk2024-10-25 22:11 1.8M 
[   ]yubikey-agent-0.1.6-r8.apk2025-01-19 12:55 1.8M 
[   ]hurl-6.0.0-r0.apk2024-12-07 21:18 1.8M 
[   ]py3-litex-hub-pythondata-cpu-ibex-2024.04-r0.apk2024-10-25 22:12 1.8M 
[   ]php81-embed-8.1.31-r0.apk2024-11-20 02:22 1.8M 
[   ]psi-plus-plugins-1.5.1965-r0.apk2024-10-25 22:12 1.8M 
[   ]xmpp-dns-0.2.4-r20.apk2025-01-19 12:55 1.8M 
[   ]tintin-2.02.31-r0.apk2024-10-25 22:12 1.8M 
[   ]php81-apache2-8.1.31-r0.apk2024-11-20 02:22 1.8M 
[   ]php81-cgi-8.1.31-r0.apk2024-11-20 02:22 1.8M 
[   ]quodlibet-pyc-4.6.0-r1.apk2024-10-25 22:12 1.8M 
[   ]antibody-6.1.1-r24.apk2025-01-19 12:55 1.8M 
[   ]mint-y-theme-gtk3-2.1.1-r0.apk2024-10-25 22:11 1.8M 
[   ]sydbox-oci-3.21.3-r0.apk2024-10-25 22:12 1.8M 
[   ]php81-litespeed-8.1.31-r0.apk2024-11-20 02:22 1.8M 
[   ]ocaml-iri-1.0.0-r0.apk2024-10-25 22:12 1.8M 
[   ]ats2-0.4.2-r0.apk2024-10-25 22:10 1.8M 
[   ]php81-8.1.31-r0.apk2024-11-20 02:22 1.8M 
[   ]hfst-libs-3.16.0-r2.apk2024-10-25 22:11 1.8M 
[   ]9base-6-r2.apk2024-10-25 22:10 1.9M 
[   ]eludris-0.3.3-r1.apk2024-10-25 22:11 1.9M 
[   ]android-apkeep-0.17.0-r0.apk2024-10-25 22:10 1.9M 
[   ]spotify-tui-0.25.0-r2.apk2024-10-25 22:12 1.9M 
[   ]gutenprint-lang-5.3.4-r5.apk2024-10-25 22:11 1.9M 
[   ]efl-dev-1.27.0-r1.apk2024-10-25 22:11 1.9M 
[   ]sc-controller-0.4.8.13-r1.apk2024-10-25 22:12 1.9M 
[   ]homebank-5.8.6-r0.apk2025-01-06 00:13 1.9M 
[   ]grcov-0.8.20-r0.apk2024-11-11 11:03 1.9M 
[   ]tcl9-9.0.1-r0.apk2024-12-22 07:22 1.9M 
[   ]php81-fpm-8.1.31-r0.apk2024-11-20 02:22 1.9M 
[   ]php81-phpdbg-8.1.31-r0.apk2024-11-20 02:22 1.9M 
[   ]ocaml-atd-dev-2.15.0-r0.apk2024-10-25 22:11 1.9M 
[   ]cadence-0.9.2-r0.apk2024-10-25 22:11 1.9M 
[   ]simgear-2020.3.19-r1.apk2024-10-25 22:12 1.9M 
[   ]py3-pyinstaller-6.6.0-r0.apk2024-10-25 22:12 1.9M 
[   ]gobang-0.1.0_alpha5-r1.apk2024-10-25 22:11 1.9M 
[   ]vidcutter-pyc-6.0.5.3-r0.apk2024-10-25 22:13 1.9M 
[   ]trippy-0.12.2-r0.apk2025-01-04 23:30 1.9M 
[   ]rook-0.2.0-r2.apk2025-01-19 12:55 1.9M 
[   ]glslviewer-3.2.4-r0.apk2024-10-25 22:11 1.9M 
[   ]c2rust-0.18.0-r1.apk2024-10-25 22:11 1.9M 
[   ]py3-pivy-0.6.9-r2.apk2024-11-23 23:49 1.9M 
[   ]py3-litex-hub-pythondata-cpu-cv32e40p-2024.04-r0.apk2024-10-25 22:12 1.9M 
[   ]openfpgaloader-0.11.0-r0.apk2024-10-25 22:12 1.9M 
[   ]tachyon-scenes-0.99_beta6-r1.apk2024-10-25 22:12 1.9M 
[   ]codeberg-cli-0.4.7-r0.apk2025-01-07 07:31 1.9M 
[   ]hitide-0.15.0-r0.apk2024-10-25 22:11 1.9M 
[   ]tui-journal-0.10.0-r0.apk2024-10-25 22:13 1.9M 
[   ]authenticator-rs-0.7.5-r0.apk2024-10-25 22:10 1.9M 
[   ]decoder-0.6.1-r0.apk2024-10-25 22:11 2.0M 
[   ]jackdaw-0.3.1-r1.apk2024-10-25 22:11 2.0M 
[   ]protoc-gen-go-1.36.1-r1.apk2025-01-19 12:55 2.0M 
[   ]apk-tools3-static-3.0.0_pre3_git20241029-r0.apk2024-10-30 06:03 2.0M 
[   ]fox-doc-1.6.57-r0.apk2024-10-25 22:11 2.0M 
[   ]avida-0_git20190813-r1.apk2024-10-25 22:10 2.0M 
[   ]so-0.4.10-r0.apk2024-10-25 22:12 2.0M 
[   ]primecount-dev-7.14-r0.apk2024-10-25 22:12 2.0M 
[   ]font-stix-otf-2.13-r0.apk2024-10-25 22:11 2.0M 
[   ]mesonlsp-4.3.7-r0.apk2024-10-25 22:11 2.1M 
[   ]swi-prolog-doc-9.2.9-r0.apk2024-12-21 11:10 2.1M 
[   ]cargo-generate-0.22.0-r1.apk2024-11-22 19:16 2.1M 
[   ]vile-9.8z-r0.apk2024-10-25 22:13 2.1M 
[   ]bird3-dbg-3.0.0-r0.apk2024-12-20 18:10 2.1M 
[   ]font-monaspace-krypton-1.101-r0.apk2024-10-25 22:11 2.1M 
[   ]ocaml-markup-dev-1.0.3-r3.apk2024-10-25 22:12 2.1M 
[   ]gmic-libs-3.3.5-r1.apk2024-11-21 23:55 2.1M 
[   ]nauty-libs-2.8.9-r0.apk2024-10-25 22:11 2.1M 
[   ]xed-lang-3.8.1-r0.apk2024-12-24 07:13 2.1M 
[   ]cocogitto-6.2.0-r1.apk2024-12-12 22:08 2.1M 
[   ]reaction-1.4.1-r2.apk2025-01-19 12:55 2.1M 
[   ]crosstool-ng-1.26.0_git20240914-r0.apk2024-10-25 22:11 2.1M 
[   ]ergo-ldap-0.0.1-r13.apk2025-01-19 12:55 2.1M 
[   ]libarb-static-2.23.0-r2.apk2024-10-25 22:11 2.1M 
[   ]satellite-1.0.0-r24.apk2025-01-19 12:55 2.1M 
[   ]bitritter-0.1.1-r0.apk2024-10-25 22:11 2.1M 
[   ]netsurf-3.11-r0.apk2024-10-25 22:11 2.1M 
[   ]prjtrellis-db-ecp5-0_git20230929-r0.apk2024-10-25 22:12 2.1M 
[   ]lomiri-location-service-3.1.0-r7.apk2024-10-25 22:11 2.1M 
[   ]font-monaspace-neon-1.101-r0.apk2024-10-25 22:11 2.1M 
[   ]goreman-0.3.15-r9.apk2025-01-19 12:55 2.1M 
[   ]hpnssh-18.4.1-r0.apk2024-10-25 22:11 2.1M 
[   ]hashcat-doc-6.2.6-r0.apk2024-10-25 22:11 2.1M 
[   ]brltty-6.7-r0.apk2024-10-25 22:11 2.2M 
[   ]guetzli-dev-0_git20191025-r1.apk2024-10-25 22:11 2.2M 
[   ]rss-email-0.5.0-r0.apk2024-10-25 22:12 2.2M 
[   ]certstrap-1.3.0-r19.apk2025-01-19 12:55 2.2M 
[   ]vice-doc-3.8-r0.apk2024-10-25 22:13 2.2M 
[   ]monetdb-11.33.11-r4.apk2024-10-25 22:11 2.2M 
[   ]ocaml-dns-6.2.2-r3.apk2024-10-25 22:12 2.2M 
[   ]py3-litex-hub-pythondata-software-compiler_rt-2024.04-r0.apk2024-10-25 22:12 2.2M 
[   ]font-monaspace-argon-1.101-r0.apk2024-10-25 22:11 2.2M 
[   ]yices2-2.6.4-r0.apk2024-10-25 22:13 2.2M 
[   ]steamguard-cli-0.9.6-r0.apk2024-10-25 22:12 2.2M 
[   ]resources-1.6.0-r1.apk2024-10-25 22:12 2.2M 
[   ]solarus-engine-1.7.0-r0.apk2024-10-25 22:12 2.2M 
[   ]rizin-cutter-2.3.2-r2.apk2024-10-25 22:12 2.2M 
[   ]bootloose-0.7.1-r7.apk2025-01-19 12:55 2.2M 
[   ]watchmate-0.5.2-r0.apk2024-10-25 22:13 2.3M 
[   ]jami-qt-lang-20230925-r0.apk2024-10-25 22:11 2.3M 
[   ]lomiri-download-manager-doc-0.1.3-r3.apk2024-10-25 22:11 2.3M 
[   ]cargo-expand-1.0.100-r0.apk2025-01-12 22:00 2.3M 
[   ]convert2json-1.1.2-r0.apk2024-11-30 12:15 2.3M 
[   ]htmldoc-1.9.20-r0.apk2024-12-10 17:43 2.3M 
[   ]ocaml-tls-dev-0.15.3-r4.apk2024-10-25 22:12 2.3M 
[   ]ircdog-0.5.4-r1.apk2025-01-19 12:55 2.3M 
[   ]avahi2dns-0.0.1_git20240102-r3.apk2025-01-19 12:55 2.3M 
[   ]font-monaspace-xenon-1.101-r0.apk2024-10-25 22:11 2.3M 
[   ]typos-1.23.2-r0.apk2024-10-25 22:13 2.3M 
[   ]libretro-ppsspp-0_git20210516-r14.apk2024-10-25 22:11 2.3M 
[   ]secsipidx-libs-1.3.2-r8.apk2025-01-19 12:55 2.4M 
[   ]ocaml-happy-eyeballs-lwt-tools-0.3.0-r3.apk2024-10-25 22:12 2.4M 
[   ]gotestsum-1.12.0-r3.apk2025-01-19 12:55 2.4M 
[   ]ntpd-rs-1.4.0-r0.apk2024-12-14 20:46 2.4M 
[   ]ocaml-tcpip-dev-7.1.2-r3.apk2024-10-25 22:12 2.4M 
[   ]py3-litex-hub-pythondata-cpu-vexriscv_smp-2024.04-r0.apk2024-10-25 22:12 2.4M 
[   ]rustdesk-server-1.1.10.3-r0.apk2024-10-25 22:12 2.4M 
[   ]musikcube-3.0.4-r0.apk2024-10-25 22:11 2.4M 
[   ]dlib-dev-19.24.4-r0.apk2024-10-25 22:11 2.4M 
[   ]docker-volume-local-persist-1.3.0-r29.apk2025-01-19 12:55 2.4M 
[   ]py3-sphinx-theme-guzzle-0.7.11-r7.apk2024-10-25 22:12 2.4M 
[   ]gron-0.7.1-r20.apk2025-01-19 12:55 2.5M 
[   ]wmi-client-1.3.16-r5.apk2024-10-25 22:13 2.5M 
[   ]py3-seqdiag-3.0.0-r5.apk2024-10-25 22:12 2.5M 
[   ]ocaml-camomile-dev-1.0.2-r3.apk2024-10-25 22:12 2.5M 
[   ]aprilsh-server-0.7.12-r1.apk2025-01-19 12:55 2.5M 
[   ]wayfire-0.8.1-r1.apk2024-10-25 22:13 2.5M 
[   ]aports-glmr-0.2-r24.apk2025-01-19 12:55 2.5M 
[   ]py3-swagger-ui-bundle-1.1.0-r1.apk2024-10-25 22:12 2.5M 
[   ]dmarc-cat-0.15.0-r1.apk2025-01-19 12:55 2.5M 
[   ]tty-proxy-0.0.2-r24.apk2025-01-19 12:55 2.5M 
[   ]qownnotes-23.6.6-r0.apk2024-10-25 22:12 2.5M 
[   ]py3-blockdiag-tests-3.0.0-r6.apk2025-01-10 13:38 2.5M 
[   ]tangctl-0_git20241007-r0.apk2025-01-20 06:17 2.5M 
[   ]mailsec-check-0_git20210729-r22.apk2025-01-19 12:55 2.5M 
[   ]ocaml-omod-bin-0.0.3-r3.apk2024-10-25 22:12 2.5M 
[   ]rcon-cli-1.6.2-r7.apk2025-01-19 12:55 2.6M 
[   ]twinkle-1.10.3-r3.apk2025-01-04 22:55 2.6M 
[   ]libmdbx-dbg-0.11.8-r0.apk2024-10-25 22:11 2.6M 
[   ]klevernotes-1.1.0-r0.apk2024-10-25 22:11 2.6M 
[   ]tmpl-0.4.0-r7.apk2025-01-19 12:55 2.6M 
[   ]gtkwave-3.3.120-r0.apk2024-10-25 22:11 2.6M 
[   ]k3sup-0.13.6-r1.apk2025-01-19 12:55 2.6M 
[   ]rtl8812au-src-5.6.4.2_git20231103-r1.apk2025-01-05 22:12 2.6M 
[   ]secsipidx-1.3.2-r8.apk2025-01-19 12:55 2.6M 
[   ]libgdcm-3.0.24-r0.apk2024-10-25 22:11 2.6M 
[   ]pgcat-1.2.0-r1.apk2025-01-01 18:38 2.6M 
[   ]sgt-puzzles-0_git20230310-r2.apk2024-10-25 22:12 2.6M 
[   ]cherrytree-1.2.0-r3.apk2024-12-18 13:06 2.6M 
[   ]sos-0.8-r27.apk2025-01-19 12:55 2.6M 
[   ]rizin-0.6.3-r1.apk2024-10-25 22:12 2.7M 
[   ]hub-2.14.2-r27.apk2025-01-19 12:55 2.7M 
[   ]pitivi-2023.03-r2.apk2024-12-22 23:04 2.7M 
[   ]copyq-9.1.0-r1.apk2024-12-12 18:43 2.7M 
[   ]sipexer-1.1.0-r9.apk2025-01-19 12:55 2.7M 
[   ]innernet-1.6.1-r0.apk2024-10-25 22:11 2.7M 
[   ]mame-tools-0.251-r0.apk2024-10-25 22:11 2.7M 
[   ]mcman-0.4.5-r0.apk2024-10-25 22:11 2.7M 
[   ]tartube-2.5.0-r1.apk2024-10-25 22:12 2.7M 
[   ]font-monaspace-radon-1.101-r0.apk2024-10-25 22:11 2.7M 
[   ]butane-0.22.0-r1.apk2025-01-19 12:55 2.7M 
[   ]gitoxide-0.14.0-r1.apk2024-10-25 22:11 2.8M 
[   ]pyonji-0.1.0-r1.apk2025-01-19 12:55 2.8M 
[   ]consul-replicate-0.4.0-r27.apk2025-01-19 12:55 2.8M 
[   ]libretro-mame2000-0_git20240701-r0.apk2024-10-25 22:11 2.8M 
[   ]vidcutter-6.0.5.3-r0.apk2024-10-25 22:13 2.8M 
[   ]krita-plugin-gmic-3.2.4.1-r3.apk2024-10-25 22:11 2.8M 
[   ]tonutils-reverse-proxy-0.3.3-r1.apk2025-01-19 12:55 2.8M 
[   ]asymptote-doc-2.91-r0.apk2024-10-25 22:10 2.8M 
[   ]msh-2.5.0-r8.apk2025-01-19 12:55 2.8M 
[   ]librespot-0.6.0-r0.apk2024-11-01 22:59 2.8M 
[   ]netsurf-framebuffer-3.11-r0.apk2024-10-25 22:11 2.8M 
[   ]siril-1.2.5-r1.apk2025-01-16 23:07 2.9M 
[   ]ripasso-cursive-0.6.5-r0.apk2024-10-25 22:12 2.9M 
[   ]vym-2.9.26-r0.apk2024-10-25 22:13 2.9M 
[   ]ocaml-labltk-8.06.12-r2.apk2024-10-25 22:12 2.9M 
[   ]nauty-dev-2.8.9-r0.apk2024-10-25 22:11 2.9M 
[   ]recoll-1.37.5-r1.apk2024-10-25 22:12 2.9M 
[   ]ocaml-gitlab-0.1.8-r0.apk2024-10-25 22:12 2.9M 
[   ]normaliz-libs-3.10.4-r0.apk2024-10-30 13:50 2.9M 
[   ]symengine-0.12.0-r0.apk2024-10-25 22:12 2.9M 
[   ]suru-icon-theme-20.05.1_git20221222-r1.apk2024-10-25 22:12 3.0M 
[   ]fceux-2.6.6-r2.apk2024-10-25 22:11 3.0M 
[   ]py3-language-data-pyc-1.3.0-r0.apk2024-12-01 21:08 3.0M 
[   ]mdcat-2.7.1-r0.apk2024-12-14 19:04 3.0M 
[   ]octoprint-1.10.3-r0.apk2024-12-25 23:04 3.0M 
[   ]wasm-tools-1.223.0-r0.apk2025-01-11 23:14 3.0M 
[   ]mailutils-dev-3.18-r0.apk2025-01-09 06:56 3.1M 
[   ]jsonnet-bundler-0.6.0-r1.apk2025-01-19 12:55 3.2M 
[   ]gliderlabs-sigil-0.11.0-r1.apk2025-01-19 12:55 3.2M 
[   ]aprilsh-client-0.7.12-r1.apk2025-01-19 12:55 3.2M 
[   ]drawing-1.0.2-r0.apk2024-10-25 22:11 3.2M 
[   ]dockerize-0.9.0-r1.apk2025-01-19 12:55 3.2M 
[   ]ocaml-lwt-dev-5.7.0-r0.apk2024-10-25 22:12 3.2M 
[   ]linphone-libs-5.3.38-r0.apk2024-10-25 22:11 3.2M 
[   ]simh-3.11.1-r1.apk2024-10-25 22:12 3.2M 
[   ]ocaml-gettext-0.4.2-r3.apk2024-10-25 22:12 3.2M 
[   ]olab-0.1.8-r0.apk2024-10-25 22:12 3.3M 
[   ]emulationstation-theme-gbz35-2.11.2-r1.apk2024-10-25 22:11 3.3M 
[   ]drupal7-7.103-r0.apk2024-12-04 18:27 3.3M 
[   ]qgis-dev-3.34.15-r0.apk2025-01-19 23:03 3.3M 
[   ]kubeconform-0.6.6-r3.apk2025-01-19 12:55 3.3M 
[   ]dstask-import-0.26-r10.apk2025-01-19 12:55 3.3M 
[   ]ocaml-lambda-term-3.2.0-r4.apk2024-10-25 22:12 3.3M 
[   ]openttd-opengfx-7.1-r0.apk2024-10-25 22:12 3.3M 
[   ]coin-4.0.3-r0.apk2024-12-13 21:40 3.4M 
[   ]khinsider-2.0.7-r16.apk2025-01-19 12:55 3.4M 
[   ]svls-0.2.12-r0.apk2024-10-25 22:12 3.4M 
[   ]spotify-player-0.18.1-r0.apk2024-10-25 22:12 3.4M 
[   ]nsh-dbg-0.4.2-r1.apk2024-10-25 22:11 3.4M 
[   ]lotide-0.15.0-r0.apk2024-10-25 22:11 3.4M 
[   ]vym-doc-2.9.26-r0.apk2024-10-25 22:13 3.4M 
[   ]stardict-help-3.0.6-r6.apk2024-10-25 22:12 3.4M 
[   ]gobuster-3.6.0-r8.apk2025-01-19 12:55 3.4M 
[   ]maildir2rss-0.0.7-r1.apk2025-01-19 12:55 3.4M 
[   ]hilbish-2.3.4-r1.apk2025-01-19 12:55 3.4M 
[   ]kapow-0.7.1-r9.apk2025-01-19 12:55 3.5M 
[   ]ocaml-containers-3.7-r2.apk2024-10-25 22:12 3.5M 
[   ]ocaml-ppx_blob-0.8.0-r0.apk2024-10-25 22:12 3.5M 
[   ]frescobaldi-3.3.0-r1.apk2024-10-25 22:11 3.5M 
[   ]openttd-lang-14.1-r0.apk2024-10-25 22:12 3.5M 
[   ]gomp-1.0.0-r8.apk2025-01-19 12:55 3.5M 
[   ]ocaml-lwt_ppx-5.7.0-r0.apk2024-10-25 22:12 3.5M 
[   ]font-openmoji-15.0.0-r0.apk2024-12-24 23:52 3.5M 
[   ]qucs-s-1.1.0-r1.apk2024-10-25 22:12 3.6M 
[   ]netscanner-0.5.1-r1.apk2024-10-25 22:11 3.6M 
[   ]alpine-lift-0.2.0-r19.apk2025-01-19 12:55 3.6M 
[   ]tty-share-2.4.0-r14.apk2025-01-19 12:55 3.6M 
[   ]prometheus-unbound-exporter-0.4.6-r1.apk2025-01-19 12:55 3.6M 
[   ]certigo-1.16.0-r19.apk2025-01-19 12:55 3.6M 
[   ]ruuvi-prometheus-0.1.7-r6.apk2025-01-19 12:55 3.6M 
[   ]ghq-1.7.1-r1.apk2025-01-19 12:55 3.6M 
[   ]porla-0.41.0-r1.apk2024-12-05 21:56 3.6M 
[   ]advancemame-mess-3.9-r4.apk2024-10-25 22:10 3.7M 
[   ]wiimms-iso-tools-3.04a-r1.apk2024-10-25 22:13 3.7M 
[   ]autorestic-1.8.3-r1.apk2025-01-19 12:55 3.7M 
[   ]jackline-0.1.0-r3.apk2024-10-25 22:11 3.7M 
[   ]comics-downloader-0.33.8-r6.apk2025-01-19 12:55 3.7M 
[   ]openocd-git-dbg-0_git20240113-r1.apk2024-10-25 22:12 3.7M 
[   ]lomiri-gallery-app-3.0.2-r0.apk2024-10-25 22:11 3.7M 
[   ]font-teluguvijayam-20190525-r2.apk2024-10-25 22:11 3.7M 
[   ]ocaml-bitstring-4.1.0-r3.apk2024-10-25 22:11 3.8M 
[   ]speedtest_exporter-0.3.2-r11.apk2025-01-19 12:55 3.8M 
[   ]phpactor-2024.06.30.0-r0.apk2024-10-25 22:12 3.8M 
[   ]ocaml-lambda-term-dev-3.2.0-r4.apk2024-10-25 22:12 3.8M 
[   ]mypaint-2.0.1-r1.apk2024-10-25 22:11 3.9M 
[   ]sdl3-dbg-3.1.6-r0.apk2024-11-02 21:30 3.9M 
[   ]otrs-dev-6.0.48-r2.apk2024-10-25 22:12 3.9M 
[   ]ocaml-cstruct-6.1.0-r3.apk2024-10-25 22:12 3.9M 
[   ]ocaml-sedlex-3.2-r0.apk2024-10-25 22:12 3.9M 
[   ]dsnet-0.7.3-r7.apk2025-01-19 12:55 3.9M 
[   ]horizon-dbg-0.9.6-r9.apk2024-10-25 22:11 3.9M 
[   ]invidtui-0.4.6-r1.apk2025-01-19 12:55 3.9M 
[   ]pspp-dbg-2.0.1-r0.apk2024-10-25 22:12 3.9M 
[   ]tootik-0.15.1-r1.apk2025-01-19 12:55 3.9M 
[   ]modem-manager-gui-doc-0.0.20-r0.apk2024-10-25 22:11 3.9M 
[   ]phoronix-test-suite-10.8.4-r2.apk2024-10-25 22:12 3.9M 
[   ]ticker-4.7.1-r1.apk2025-01-19 12:55 4.0M 
[   ]openapi-tui-0.9.4-r1.apk2024-10-25 22:12 4.0M 
[   ]wgcf-2.2.24-r1.apk2025-01-19 12:55 4.0M 
[   ]lomiri-0.3.0-r0.apk2024-10-25 22:11 4.0M 
[   ]rtl88x2bu-src-5.13.1_git20230711-r0.apk2024-10-25 22:12 4.0M 
[   ]exercism-3.2.0-r8.apk2025-01-19 12:55 4.0M 
[   ]java-jtharness-6.0_p12-r0.apk2024-10-25 22:11 4.0M 
[   ]py3-drf-yasg-1.21.7-r2.apk2024-10-25 22:12 4.1M 
[   ]chamo-dev-4.0-r0.apk2024-10-25 22:11 4.1M 
[   ]jsonnet-language-server-0.14.1-r1.apk2025-01-19 12:55 4.1M 
[   ]prometheus-rethinkdb-exporter-1.0.1-r24.apk2025-01-19 12:55 4.1M 
[   ]ocaml-dns-dev-6.2.2-r3.apk2024-10-25 22:12 4.1M 
[   ]i3status-rust-0.33.2-r0.apk2024-11-11 04:20 4.1M 
[   ]lefthook-1.8.5-r1.apk2025-01-19 12:55 4.1M 
[   ]dive-0.12.0-r1.apk2025-01-19 12:55 4.1M 
[   ]mir-dev-2.15.0-r4.apk2024-10-25 22:11 4.2M 
[   ]cortex-tenant-1.15.2-r2.apk2025-01-19 12:55 4.2M 
[   ]gotify-cli-2.3.2-r1.apk2025-01-19 12:55 4.2M 
[   ]prometheus-ipmi-exporter-1.8.0-r1.apk2025-01-19 12:55 4.2M 
[   ]ocaml-uri-dev-4.2.0-r2.apk2024-10-25 22:12 4.3M 
[   ]tanka-0.31.1-r1.apk2025-01-19 12:55 4.3M 
[   ]manifest-tool-2.1.7-r1.apk2025-01-19 12:55 4.3M 
[   ]rtl8821ce-src-5_git20230504-r0.apk2024-10-25 22:12 4.3M 
[   ]ocaml-bisect_ppx-2.8.3-r0.apk2024-10-25 22:11 4.3M 
[   ]geodns-logs-3.3.0-r9.apk2025-01-19 12:55 4.3M 
[   ]projectm-presets-3.1.12-r2.apk2024-10-25 22:12 4.3M 
[   ]mqtt2prometheus-0.1.7-r12.apk2025-01-19 12:55 4.4M 
[   ]arc-icon-theme-20161122-r0.apk2024-10-25 22:10 4.4M 
[   ]prometheus-opnsense-exporter-0.0.5-r1.apk2025-01-19 12:55 4.4M 
[   ]qownnotes-lang-23.6.6-r0.apk2024-10-25 22:12 4.4M 
[   ]acmetool-0.2.2-r9.apk2025-01-19 12:55 4.4M 
[   ]pari-libs-2.17.1-r0.apk2024-12-24 18:12 4.4M 
[   ]libsymmetrica-3.0.1-r2.apk2024-10-25 22:11 4.4M 
[   ]yazi-0.4.2-r0.apk2024-12-20 17:10 4.4M 
[   ]yoshimi-doc-2.3.2-r0.apk2024-10-25 22:13 4.5M 
[   ]rizin-libs-0.6.3-r1.apk2024-10-25 22:12 4.5M 
[   ]prometheus-smokeping-prober-0.7.1-r8.apk2025-01-19 12:55 4.5M 
[   ]reg-0.16.1-r24.apk2025-01-19 12:55 4.5M 
[   ]wabt-1.0.36-r0.apk2024-10-25 22:13 4.5M 
[   ]cargo-udeps-0.1.54-r0.apk2025-01-12 14:12 4.5M 
[   ]kubectl-krew-0.4.4-r8.apk2025-01-19 12:55 4.5M 
[   ]prometheus-smartctl-exporter-0.13.0-r1.apk2025-01-19 12:55 4.5M 
[   ]ocaml-base-0.16.3-r0.apk2024-10-25 22:11 4.6M 
[   ]flare-engine-1.14-r0.apk2024-10-25 22:11 4.6M 
[   ]prometheus-bind-exporter-0.7.0-r7.apk2025-01-19 12:55 4.6M 
[   ]geonames-lang-0.3.1-r2.apk2024-10-25 22:11 4.6M 
[   ]fq-0.13.0-r1.apk2025-01-19 12:55 4.6M 
[   ]gx-0.14.3-r26.apk2025-01-19 12:55 4.6M 
[   ]meli-0.8.10-r0.apk2024-12-16 11:10 4.6M 
[   ]pdfcpu-0.9.1-r1.apk2025-01-19 12:55 4.7M 
[   ]ocaml-higlo-0.9-r0.apk2024-10-25 22:12 4.7M 
[   ]ocaml-ppx_deriving-5.3.0-r0.apk2024-10-25 22:12 4.7M 
[   ]py3-litex-hub-pythondata-software-picolibc-2024.04-r0.apk2024-10-25 22:12 4.7M 
[   ]atac-0.18.1-r0.apk2024-11-25 22:58 4.7M 
[   ]fathom-1.3.1-r9.apk2025-01-19 12:55 4.7M 
[   ]dhewm3-1.5.2-r0.apk2024-10-25 22:11 4.7M 
[   ]envconsul-0.13.2-r9.apk2025-01-19 12:55 4.7M 
[   ]geodns-3.3.0-r9.apk2025-01-19 12:55 4.8M 
[   ]tfupdate-0.8.2-r2.apk2025-01-19 12:55 4.8M 
[   ]tauri-cli-1.6.2-r0.apk2024-11-01 01:07 4.8M 
[   ]secsipidx-dev-1.3.2-r8.apk2025-01-19 12:55 4.8M 
[   ]ssh-cert-authority-2.0.0-r22.apk2025-01-19 12:55 4.9M 
[   ]gx-go-1.9.0-r28.apk2025-01-19 12:55 4.9M 
[   ]wiimms-szs-tools-2.26a-r0.apk2024-10-25 22:13 4.9M 
[   ]ocaml-uucp-14.0.0-r2.apk2024-10-25 22:12 4.9M 
[   ]abc-0_git20240102-r0.apk2024-10-25 22:10 4.9M 
[   ]templ-0.3.819-r1.apk2025-01-19 12:55 4.9M 
[   ]py3-nwdiag-3.0.0-r3.apk2024-10-25 22:12 4.9M 
[   ]conntracct-0.2.7-r27.apk2025-01-19 12:55 4.9M 
[   ]py3-language-data-1.3.0-r0.apk2024-12-01 21:08 5.0M 
[   ]swi-prolog-9.2.9-r0.apk2024-12-21 11:10 5.0M 
[   ]nzbget-24.5-r0.apk2024-12-24 11:41 5.0M 
[   ]s5cmd-2.2.2-r6.apk2025-01-19 12:55 5.0M 
[   ]elementary-icon-theme-8.0.0-r0.apk2024-10-25 22:11 5.0M 
[   ]qtox-1.17.6-r6.apk2024-10-25 22:12 5.1M 
[   ]ocaml-camomile-data-1.0.2-r3.apk2024-10-25 22:11 5.1M 
[   ]php81-pecl-couchbase-4.2.5-r0.apk2024-11-24 18:25 5.1M 
[   ]lychee-0.15.1-r0.apk2024-10-25 22:11 5.1M 
[   ]ocp-index-1.3.6-r0.apk2024-10-25 22:12 5.1M 
[   ]flint-2.9.0-r2.apk2024-10-25 22:11 5.2M 
[   ]spacectl-1.0.0-r2.apk2025-01-19 12:55 5.2M 
[   ]dustracing2d-2.1.1-r1.apk2024-10-25 22:11 5.2M 
[   ]kubectl-oidc_login-1.31.0-r1.apk2025-01-19 12:55 5.3M 
[   ]scalingo-1.30.0-r6.apk2025-01-19 12:55 5.3M 
[   ]php81-pecl-grpc-1.69.0-r0.apk2025-01-09 23:21 5.3M 
[   ]cargo-shuttle-0.51.0-r0.apk2025-01-10 21:35 5.3M 
[   ]speedtest-go-1.1.5-r11.apk2025-01-19 12:55 5.4M 
[   ]singular-static-4.4.0-r0.apk2024-10-25 22:12 5.4M 
[   ]pict-rs-0.5.16-r1.apk2024-10-25 22:12 5.4M 
[   ]ocaml-mirage-crypto-dev-0.10.6-r3.apk2024-10-25 22:12 5.4M 
[   ]ocamlnet-dev-4.1.9-r2.apk2024-10-25 22:12 5.5M 
[   ]alps-0_git20230807-r8.apk2025-01-19 12:55 5.5M 
[   ]comics-downloader-gui-0.33.8-r6.apk2025-01-19 12:55 5.5M 
[   ]gost-2.12.0-r1.apk2025-01-19 12:55 5.5M 
[   ]py3-litex-hub-pythondata-cpu-blackparrot-2024.04-r0.apk2024-10-25 22:12 5.6M 
[   ]qbittorrent-cli-2.1.0-r1.apk2025-01-19 12:55 5.6M 
[   ]nauty-2.8.9-r0.apk2024-10-25 22:11 5.6M 
[   ]fxfloorboard-katana-mk2-20240515-r1.apk2024-10-25 22:11 5.6M 
[   ]drone-cli-1.8.0-r6.apk2025-01-19 12:55 5.6M 
[   ]fluent-bit-3.1.10-r0.apk2024-11-10 13:15 5.7M 
[   ]goshs-1.0.1-r1.apk2025-01-19 12:55 5.7M 
[   ]rattler-build-0.18.0-r0.apk2024-10-25 22:12 5.7M 
[   ]advancemame-data-3.9-r4.apk2024-10-25 22:10 5.8M 
[   ]virter-0.28.1-r1.apk2025-01-19 12:55 5.8M 
[   ]fplll-libs-5.5.0-r0.apk2024-11-18 19:00 5.8M 
[   ]upterm-server-0.14.3-r1.apk2025-01-19 12:55 5.8M 
[   ]chamo-4.0-r0.apk2024-10-25 22:11 5.8M 
[   ]pypy3-pyc-7.3.12-r0.apk2024-10-25 22:12 5.9M 
[   ]libsymmetrica-static-3.0.1-r2.apk2024-10-25 22:11 5.9M 
[   ]cargo-crev-0.25.9-r0.apk2024-10-25 22:11 5.9M 
[   ]glow-2.0.0-r1.apk2025-01-19 12:55 5.9M 
[   ]upterm-0.14.3-r1.apk2025-01-19 12:55 5.9M 
[   ]rustic-0.9.3-r0.apk2024-10-25 22:12 6.0M 
[   ]ocaml-stk-0.1.0-r0.apk2024-10-25 22:12 6.0M 
[   ]termusic-mpv-0.7.11-r0.apk2024-10-25 22:12 6.0M 
[   ]yoshimi-2.3.2-r0.apk2024-10-25 22:13 6.1M 
[   ]wf-shell-0.8.1-r0.apk2024-10-25 22:13 6.1M 
[   ]kannel-1.5.0-r11.apk2024-10-25 22:11 6.1M 
[   ]go-jsonnet-0.20.0-r10.apk2025-01-19 12:55 6.2M 
[   ]fpc-stage0-3.2.2-r3.apk2024-10-25 22:11 6.2M 
[   ]font-fira-ttf-4.202-r0.apk2024-10-25 22:11 6.2M 
[   ]sydbox-utils-3.21.3-r0.apk2024-10-25 22:12 6.3M 
[   ]compiz-0.9.14.2-r7.apk2024-11-22 19:16 6.3M 
[   ]theforceengine-doc-1.09.540-r1.apk2024-10-25 22:12 6.3M 
[   ]openttd-14.1-r0.apk2024-10-25 22:12 6.4M 
[   ]coccinelle-1.1.1-r2.apk2024-10-25 22:11 6.4M 
[   ]ocaml-atd-2.15.0-r0.apk2024-10-25 22:11 6.5M 
[   ]cvise-2.8.0-r2.apk2024-10-25 22:11 6.5M 
[   ]fplll-static-5.5.0-r0.apk2024-11-18 19:00 6.5M 
[   ]mautrix-discord-0.7.2-r1.apk2025-01-19 12:55 6.5M 
[   ]py3-flask-admin-1.6.1-r3.apk2024-10-25 22:12 6.5M 
[   ]mautrix-twitter-0.2.1-r1.apk2025-01-19 12:55 6.5M 
[   ]grlx-sprout-1.0.5-r1.apk2025-01-19 12:55 6.5M 
[   ]gambit-dev-4.9.5-r0.apk2024-10-25 22:11 6.6M 
[   ]ocaml-containers-dev-3.7-r2.apk2024-10-25 22:12 6.6M 
[   ]zrepl-0.6.1-r7.apk2025-01-19 12:55 6.7M 
[   ]libdcmtk-3.6.9-r0.apk2025-01-19 18:13 6.7M 
[   ]libretro-mame2003-0_git20240904-r0.apk2024-10-25 22:11 6.8M 
[   ]sqlmap-1.9-r0.apk2025-01-13 23:49 6.8M 
[   ]go-passbolt-cli-0.3.1-r4.apk2025-01-19 12:55 6.8M 
[   ]gb-0.4.4-r27.apk2025-01-19 12:55 6.9M 
[   ]theforceengine-1.09.540-r1.apk2024-10-25 22:12 7.0M 
[   ]nom-2.6.1-r1.apk2025-01-19 12:55 7.0M 
[   ]telegram-bot-api-8.2-r0.apk2025-01-03 19:55 7.2M 
[   ]telegram-tdlib-1.8.42-r0.apk2025-01-03 20:10 7.2M 
[   ]ocaml-ocf-0.8.0-r3.apk2024-10-25 22:12 7.4M 
[   ]psst-0_git20240526-r1.apk2024-10-25 22:12 7.4M 
[   ]font-fira-otf-4.202-r0.apk2024-10-25 22:11 7.4M 
[   ]filebrowser-2.27.0-r7.apk2025-01-19 12:55 7.4M 
[   ]kompose-1.31.2-r6.apk2025-01-19 12:55 7.4M 
[   ]ocaml-cohttp-tools-5.3.1-r0.apk2024-10-25 22:12 7.4M 
[   ]protoconf-0.1.7-r9.apk2025-01-19 12:55 7.6M 
[   ]azote-1.14.0-r0.apk2024-12-14 21:38 7.6M 
[   ]desync-0.9.6-r1.apk2025-01-19 12:55 7.7M 
[   ]clementine-1.4.0_git20220324-r12.apk2024-10-25 22:11 7.7M 
[   ]tabiew-0.7.1-r0.apk2024-11-25 22:51 7.7M 
[   ]ocaml-lablgtk3-3.1.2-r3.apk2024-10-25 22:12 7.8M 
[   ]py3-okonomiyaki-2.0.0-r0.apk2024-10-25 22:12 7.9M 
[   ]kine-0.10.1-r9.apk2025-01-19 12:55 8.0M 
[   ]sish-2.16.1-r1.apk2025-01-19 12:55 8.0M 
[   ]supercollider-3.13.0-r5.apk2024-10-25 22:12 8.0M 
[   ]oauth2-proxy-7.6.0-r7.apk2025-01-19 12:55 8.0M 
[   ]glmark2-2023.01-r1.apk2024-10-25 22:11 8.1M 
[   ]ovn-24.03.1-r0.apk2024-10-25 22:12 8.1M 
[   ]gnucash-lang-5.10-r0.apk2024-12-27 12:35 8.2M 
[   ]grpcurl-1.9.2-r1.apk2025-01-19 12:55 8.2M 
[   ]ocaml-xtmpl-0.19.0-r0.apk2024-10-25 22:12 8.3M 
[   ]gnucash-5.10-r0.apk2024-12-27 12:35 8.3M 
[   ]transito-0.9.1-r1.apk2025-01-19 12:55 8.4M 
[   ]crowdsec-splunk-plugin-1.6.4-r1.apk2025-01-19 12:55 8.4M 
[   ]crowdsec-sentinel-plugin-1.6.4-r1.apk2025-01-19 12:55 8.4M 
[   ]crowdsec-http-plugin-1.6.4-r1.apk2025-01-19 12:55 8.4M 
[   ]crowdsec-slack-plugin-1.6.4-r1.apk2025-01-19 12:55 8.4M 
[   ]crowdsec-email-plugin-1.6.4-r1.apk2025-01-19 12:55 8.4M 
[   ]py3-apicula-0.11.1-r1.apk2024-10-25 22:12 8.5M 
[   ]unicorn-2.0.1-r4.apk2024-10-25 22:13 8.5M 
[   ]opcr-policy-0.2.21-r1.apk2025-01-19 12:55 8.6M 
[   ]mautrix-bluesky-0.1.0-r1.apk2025-01-19 12:55 8.6M 
[   ]faust-2.60.3-r2.apk2024-10-25 22:11 8.6M 
[   ]pixi-0.24.2-r0.apk2024-10-25 22:12 8.6M 
[   ]texlab-5.19.0-r0.apk2024-10-25 22:12 8.6M 
[   ]gdcm-doc-html-3.0.24-r0.apk2024-10-25 22:11 8.7M 
[   ]grpcui-1.4.2-r1.apk2025-01-19 12:55 8.7M 
[   ]cc65-2.19-r0.apk2024-10-25 22:11 8.9M 
[   ]psi-plus-1.5.1965-r0.apk2024-10-25 22:12 8.9M 
[   ]cloudfoundry-cli-8.7.9-r5.apk2025-01-19 12:55 9.0M 
[   ]linphone-5.3.38-r0.apk2024-10-25 22:11 9.0M 
[   ]itd-1.1.0-r9.apk2025-01-19 12:55 9.1M 
[   ]ppl-doc-1.2-r1.apk2024-10-25 22:12 9.1M 
[   ]lumina-desktop-core-1.6.2-r0.apk2024-10-25 22:11 9.1M 
[   ]cloudflared-2024.12.1-r1.apk2025-01-19 12:55 9.3M 
[   ]bomctl-0.1.9-r2.apk2025-01-19 12:55 9.3M 
[   ]jfrog-cli-2.45.0-r8.apk2025-01-19 12:55 9.3M 
[   ]ovn-dev-24.03.1-r0.apk2024-10-25 22:12 9.3M 
[   ]tenv-3.2.4-r3.apk2025-01-19 12:55 9.3M 
[   ]git-bug-0.8.0-r15.apk2025-01-19 12:55 9.3M 
[   ]rio-0.2.4-r0.apk2025-01-19 12:55 9.4M 
[   ]pspp-2.0.1-r0.apk2024-10-25 22:12 9.4M 
[   ]haxe-4.3.6-r0.apk2024-11-29 07:11 9.6M 
[   ]ocaml-base-dev-0.16.3-r0.apk2024-10-25 22:11 9.6M 
[   ]maddy-0.7.1-r6.apk2025-01-19 12:55 9.7M 
[   ]ocaml-stk-dev-0.1.0-r0.apk2024-10-25 22:12 9.8M 
[   ]gotify-2.5.0-r2.apk2025-01-19 12:55 9.8M 
[   ]gambit-4.9.5-r0.apk2024-10-25 22:11 9.9M 
[   ]openapi-validator-1.19.2-r0.apk2024-10-25 22:12 9.9M 
[   ]wine-staging-dev-9.22-r0.apk2024-11-23 20:05 10M 
[   ]maxima-doc-extra-5.47.0-r8.apk2024-10-25 22:11 10M 
[   ]docker-auth-1.12.0-r1.apk2025-01-19 12:55 10M 
[   ]unicorn-dev-2.0.1-r4.apk2024-10-25 22:13 10M 
[   ]seed7-05.20240322-r0.apk2024-10-25 22:12 10M 
[   ]regal-0.29.2-r1.apk2025-01-19 12:55 10M 
[   ]gortr-0.14.8-r9.apk2025-01-19 12:55 10M 
[   ]py3-litex-hub-pythondata-cpu-cva6-2024.04-r0.apk2024-10-25 22:12 10M 
[   ]singular-4.4.0-r0.apk2024-10-25 22:12 10M 
[   ]undock-0.9.0-r1.apk2025-01-19 12:55 10M 
[   ]stayrtr-0.6.1-r1.apk2025-01-19 12:55 10M 
[   ]sc3-plugins-3.13.0-r1.apk2024-10-25 22:12 10M 
[   ]ocaml-dns-tools-6.2.2-r3.apk2024-10-25 22:12 10M 
[   ]mangal-4.0.6-r14.apk2025-01-19 12:55 10M 
[   ]libretro-fbneo-0_git20220416-r0.apk2024-10-25 22:11 10M 
[   ]dbmate-2.16.0-r1.apk2025-01-19 12:55 10M 
[   ]ko-0.17.1-r1.apk2025-01-19 12:55 10M 
[   ]adguardhome-0.107.55-r1.apk2025-01-19 12:55 11M 
[   ]chasquid-1.15.0-r0.apk2025-01-19 12:55 11M 
[   ]openttd-opensfx-1.0.3-r0.apk2024-10-25 22:12 11M 
[   ]kubeseal-0.27.3-r1.apk2025-01-19 12:55 11M 
[   ]mapnik-3.1.0-r29.apk2024-11-08 01:09 11M 
[   ]gmic-3.3.5-r1.apk2024-11-21 23:55 11M 
[   ]openspades-0.1.3-r5.apk2024-10-25 22:12 11M 
[   ]ocaml-gitlab-dev-0.1.8-r0.apk2024-10-25 22:12 11M 
[   ]sing-box-1.10.7-r1.apk2025-01-19 12:55 12M 
[   ]waifu2x-converter-cpp-5.3.4-r8.apk2025-01-16 23:07 12M 
[   ]jackal-0.64.0-r11.apk2025-01-19 12:55 12M 
[   ]helm-ls-0.0.12-r5.apk2025-01-19 12:55 12M 
[   ]kismet-0.202307.1-r4.apk2024-11-11 19:20 12M 
[   ]haproxy-dataplaneapi-3.0.1-r1.apk2025-01-19 12:55 12M 
[   ]helm-unittest-0.7.1-r1.apk2025-01-19 12:55 12M 
[   ]advancemame-3.9-r4.apk2024-10-25 22:10 12M 
[   ]noggin-model-0.1-r0.apk2024-10-25 22:11 12M 
[   ]chicago95-icons-3.0.1_git20240619-r0.apk2024-10-25 22:11 12M 
[   ]linkquisition-1.6.1-r1.apk2025-01-19 12:55 12M 
[   ]ocaml-ppxlib-0.32.0-r0.apk2024-10-25 22:12 12M 
[   ]httpx-1.6.9-r2.apk2025-01-19 12:55 13M 
[   ]vice-3.8-r0.apk2024-10-25 22:13 13M 
[   ]gprbuild-22.0.0-r3.apk2024-10-25 22:11 13M 
[   ]pypy3-tests-7.3.12-r0.apk2024-10-25 22:12 13M 
[   ]regclient-0.7.1-r1.apk2025-01-19 12:55 13M 
[   ]katana-1.1.0-r5.apk2025-01-19 12:55 13M 
[   ]grlx-farmer-1.0.5-r1.apk2025-01-19 12:55 13M 
[   ]utop-2.9.1-r4.apk2024-10-25 22:13 13M 
[   ]utop-full-2.9.1-r4.apk2024-10-25 22:13 13M 
[   ]gdcm-doc-pdf-3.0.24-r0.apk2024-10-25 22:11 14M 
[   ]jami-qt-20230925-r0.apk2024-10-25 22:11 14M 
[   ]ocaml-lablgtk3-dev-3.1.2-r3.apk2024-10-25 22:12 14M 
[   ]taskcafe-0.3.6-r9.apk2025-01-19 12:55 14M 
[   ]merlin-4.14-r0.apk2024-10-25 22:11 14M 
[   ]grlx-1.0.5-r1.apk2025-01-19 12:55 14M 
[   ]kube-no-trouble-0.7.3-r1.apk2025-01-19 12:55 14M 
[   ]pypy3-7.3.12-r0.apk2024-10-25 22:12 15M 
[   ]dnscontrol-4.15.5-r0.apk2025-01-19 12:55 15M 
[   ]pypy-7.3.12-r0.apk2024-10-25 22:12 15M 
[   ]prometheus-podman-exporter-1.13.3-r1.apk2025-01-19 12:55 15M 
[   ]ocaml-reason-3.8.2-r1.apk2024-10-25 22:12 15M 
[   ]whatsie-4.16.3-r0.apk2025-01-12 14:12 15M 
[   ]pypy-bootstrap-7.3.12-r0.apk2024-10-25 22:12 16M 
[   ]tic-80-1.1.2837-r5.apk2024-12-27 23:25 16M 
[   ]ocaml-ppxlib-dev-0.32.0-r0.apk2024-10-25 22:12 16M 
[   ]virtctl-1.4.0-r1.apk2025-01-19 12:55 16M 
[   ]ocamlnet-4.1.9-r2.apk2024-10-25 22:12 16M 
[   ]dissent-0.0.32-r0.apk2025-01-19 18:10 16M 
[   ]icestorm-0_git20240517-r0.apk2024-10-25 22:11 17M 
[   ]faust-doc-2.60.3-r2.apk2024-10-25 22:11 17M 
[   ]kubepug-1.7.1-r6.apk2025-01-19 12:55 17M 
[   ]reason-3.8.2-r1.apk2024-10-25 22:12 17M 
[   ]bestline-doc-0.0_git20211108-r0.apk2024-10-25 22:11 18M 
[   ]lomiri-sounds-22.02-r0.apk2024-10-25 22:11 18M 
[   ]ri-li-2.0.1-r1.apk2024-10-25 22:12 18M 
[   ]font-babelstone-han-15.1.3-r0.apk2024-10-25 22:11 18M 
[   ]keybase-client-6.2.8-r6.apk2025-01-19 12:55 18M 
[   ]telegram-tdlib-static-1.8.42-r0.apk2025-01-03 20:10 19M 
[   ]hubble-cli-0.13.6-r1.apk2025-01-19 12:55 19M 
[   ]stern-1.31.0-r1.apk2025-01-19 12:55 19M 
[   ]yosys-0.42-r0.apk2024-10-25 22:13 19M 
[   ]mame-data-0.251-r0.apk2024-10-25 22:11 19M 
[   ]zafiro-icon-theme-1.3-r0.apk2024-10-25 22:13 19M 
[   ]py3-litex-hub-pythondata-cpu-microwatt-2024.04-r0.apk2024-10-25 22:12 19M 
[   ]wtfutil-0.43.0-r9.apk2025-01-19 12:55 20M 
[   ]py3-qgis-3.34.15-r0.apk2025-01-19 23:03 20M 
[   ]helm-mapkubeapis-0.5.2-r1.apk2025-01-19 12:55 21M 
[   ]rke-1.4.3-r11.apk2025-01-19 12:55 21M 
[   ]libretro-scummvm-0_git20210325-r0.apk2024-10-25 22:11 21M 
[   ]avara-0.7.1-r1.apk2024-11-04 09:53 21M 
[   ]helm-diff-3.9.13-r1.apk2025-01-19 12:55 22M 
[   ]maxima-5.47.0-r8.apk2024-10-25 22:11 22M 
[   ]merlin-dev-4.14-r0.apk2024-10-25 22:11 22M 
[   ]mint-x-icons-1.7.2-r0.apk2024-12-24 11:07 22M 
[   ]angband-4.2.5-r0.apk2024-10-25 22:10 23M 
[   ]knative-client-1.16.1-r1.apk2025-01-19 12:55 23M 
[   ]ovn-dbg-24.03.1-r0.apk2024-10-25 22:12 23M 
[   ]seaweedfs-3.80-r1.apk2025-01-19 12:55 24M 
[   ]reason-rtop-3.8.2-r1.apk2024-10-25 22:12 24M 
[   ]timoni-0.23.0-r1.apk2025-01-19 12:55 24M 
[   ]nsq-1.3.0-r6.apk2025-01-19 12:55 25M 
[   ]nextpnr-ecp5-0.7-r0.apk2024-10-25 22:11 25M 
[   ]sdl3-dev-3.1.6-r0.apk2024-11-02 21:30 26M 
[   ]popeye-0.21.6-r1.apk2025-01-19 12:55 27M 
[   ]kubeone-1.9.1-r1.apk2025-01-19 12:55 27M 
[   ]thelounge-4.4.3-r0.apk2024-10-25 22:12 28M 
[   ]ocaml-reason-dev-3.8.2-r1.apk2024-10-25 22:12 28M 
[   ]cfssl-1.6.5-r1.apk2025-01-19 12:55 28M 
[   ]vals-0.38.0-r1.apk2025-01-19 12:55 29M 
[   ]otrs-6.0.48-r2.apk2024-10-25 22:12 29M 
[   ]spark-2.8.3-r1.apk2024-10-25 22:12 29M 
[   ]font-hanazono-20170904-r1.apk2024-10-25 22:11 29M 
[   ]qgis-lang-3.34.15-r0.apk2025-01-19 23:03 31M 
[   ]oxygen-icons-6.1.0-r0.apk2024-10-25 22:12 32M 
[   ]liquibase-4.9.1-r0.apk2024-10-25 22:11 32M 
[   ]zfs-src-2.2.4-r1.apk2025-01-09 12:46 32M 
[   ]stockfish-16-r0.apk2024-10-25 22:12 33M 
[   ]stone-soup-0.32.1-r0.apk2024-10-25 22:12 33M 
[   ]cdogs-sdl-2.1.0-r0.apk2024-10-25 22:11 34M 
[   ]efl-1.27.0-r1.apk2024-10-25 22:11 34M 
[   ]crowdsec-1.6.4-r1.apk2025-01-19 12:55 34M 
[   ]yaru-icon-theme-23.10.0-r1.apk2024-12-01 03:29 35M 
[   ]ma1sd-2.5.0-r3.apk2024-10-25 22:11 38M 
[   ]extremetuxracer-0.8.3-r0.apk2024-10-25 22:11 40M 
[   ]libmedc-doc-4.1.1-r4.apk2024-10-25 22:11 41M 
[   ]godot-templates-4.3-r2.apk2024-10-25 22:11 44M 
[   ]helmfile-0.169.2-r1.apk2025-01-19 12:55 47M 
[   ]godot-4.3-r2.apk2024-10-25 22:11 47M 
[   ]qgis-3.34.15-r0.apk2025-01-19 23:03 47M 
[   ]starfighter-2.4-r0.apk2024-10-25 22:12 48M 
[   ]gutenprint-cups-5.3.4-r5.apk2024-10-25 22:11 49M 
[   ]mame-mess-0.251-r0.apk2024-10-25 22:11 52M 
[   ]solarus-quest-editor-1.7.0-r0.apk2024-10-25 22:12 56M 
[   ]cilium-cli-0.16.13-r1.apk2025-01-19 12:55 56M 
[   ]py3-litex-hub-pythondata-cpu-rocket-2024.04-r0.apk2024-10-25 22:12 57M 
[   ]trivy-0.58.1-r1.apk2025-01-19 12:55 60M 
[   ]kanister-tools-0.112.0-r1.apk2025-01-19 12:55 63M 
[   ]mame-arcade-0.251-r0.apk2024-10-25 22:11 65M 
[   ]hashcat-6.2.6-r0.apk2024-10-25 22:11 67M 
[   ]wine-staging-9.22-r0.apk2024-11-23 20:05 68M 
[   ]nextpnr-ice40-0.7-r0.apk2024-10-25 22:11 69M 
[   ]fpc-3.2.2-r4.apk2024-10-25 22:11 70M 
[   ]lxd-feature-5.20-r7.apk2025-01-19 12:55 70M 
[   ]mint-y-icons-1.8.0-r0.apk2024-12-24 11:09 72M 
[   ]wine-mono-9.4.0-r0.apk2024-12-24 11:06 80M 
[   ]piglit-0_git20241106-r0.apk2024-11-08 07:18 89M 
[   ]mame-0.251-r0.apk2024-10-25 22:11 94M 
[   ]freecad-1.0.0-r1.apk2024-12-18 13:06 107M 
[   ]moka-icon-theme-5.4.0-r2.apk2024-10-25 22:11 114M 
[   ]srb2-data-2.2.13-r0.apk2024-10-25 22:12 138M 
[   ]endless-sky-0.10.2-r0.apk2024-10-25 22:11 242M 
[   ]trigger-rally-data-0.6.7-r2.apk2024-10-25 22:13 352M 
[   ]sauerbraten-2020.12.29-r3.apk2024-10-25 22:12 934M